00000000: 74 75 72 6e 7b 70 61 74 74 65 72 6e 3a 52 65 67 turn{pattern:Reg
00000010: 45 78 70 28 22 3c 23 22 2b 65 2b 22 5b 5c 5c 73 Exp("<#"+e+"[\\s
00000020: 5c 5c 53 5d 2a 3f 23 3e 22 29 2c 61 6c 69 61 73 \\S]*?#>"),alias
00000030: 3a 22 62 6c 6f 63 6b 22 2c 69 6e 73 69 64 65 3a :"block",inside:
00000040: 7b 64 65 6c 69 6d 69 74 65 72 3a 7b 70 61 74 74 {delimiter:{patt
00000050: 65 72 6e 3a 52 65 67 45 78 70 28 22 5e 3c 23 22 ern:RegExp("^<#"
00000060: 2b 65 2b 22 7c 23 3e 24 22 29 2c 61 6c 69 61 73 +e+"|#>$"),alias
00000070: 3a 22 69 6d 70 6f 72 74 61 6e 74 22 7d 2c 63 6f :"important"},co
00000080: 6e 74 65 6e 74 3a 7b 70 61 74 74 65 72 6e 3a 2f ntent:{pattern:/
00000090: 5b 5c 73 5c 53 5d 2b 2f 2c 69 6e 73 69 64 65 3a [\s\S]+/,inside:
000000a0: 74 2c 61 6c 69 61 73 3a 6e 7d 7d 7d 7d 65 2e 6c t,alias:n}}}}e.l
000000b0: 61 6e 67 75 61 67 65 73 5b 22 74 34 2d 74 65 6d anguages["t4-tem
000000c0: 70 6c 61 74 69 6e 67 22 5d 3d 4f 62 6a 65 63 74 plating"]=Object
000000d0: 2e 64 65 66 69 6e 65 50 72 6f 70 65 72 74 79 28 .defineProperty(
000000e0: 7b 7d 2c 22 63 72 65 61 74 65 54 34 22 2c 7b 76 {},"createT4",{v
000000f0: 61 6c 75 65 3a 66 75 6e 63 74 69 6f 6e 28 6e 29 alue:function(n)
00000100: 7b 76 61 72 20 72 3d 65 2e 6c 61 6e 67 75 61 67 {var r=e.languag
00000110: 65 73 5b 6e 5d 2c 6f 3d 22 6c 61 6e 67 75 61 67 es[n],o="languag
00000120: 65 2d 22 2b 6e 3b 72 65 74 75 72 6e 7b 62 6c 6f e-"+n;return{blo
00000130: 63 6b 3a 7b 70 61 74 74 65 72 6e 3a 2f 3c 23 5b ck:{pattern:/<#[
00000140: 5c 73 5c 53 5d 2b 3f 23 3e 2f 2c 69 6e 73 69 64 \s\S]+?#>/,insid
00000150: 65 3a 7b 64 69 72 65 63 74 69 76 65 3a 74 28 22 e:{directive:t("
00000160: 40 22 2c 7b 22 61 74 74 72 2d 76 61 6c 75 65 22 @",{"attr-value"
00000170: 3a 7b 70 61 74 74 65 72 6e 3a 2f 3d 28 3f 3a 28 :{pattern:/=(?:(
00000180: 22 7c 27 29 28 3f 3a 5c 5c 5b 5c 73 5c 53 5d 7c "|')(?:\\[\s\S]|
00000190: 28 3f 21 5c 31 29 5b 5e 5c 5c 5d 29 2a 5c 31 7c (?!\1)[^\\])*\1|
000001a0: 5b 5e 5c 73 27 22 3e 3d 5d 2b 29 2f 2c 69 6e 73 [^\s'">=]+)/,ins
000001b0: 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e ide:{punctuation
000001c0: 3a 2f 5e 3d 7c 5e 5b 22 27 5d 7c 5b 22 27 5d 24 :/^=|^["']|["']$
000001d0: 2f 7d 7d 2c 6b 65 79 77 6f 72 64 3a 2f 5c 62 5c /}},keyword:/\b\
000001e0: 77 2b 28 3f 3d 5c 73 29 2f 2c 22 61 74 74 72 2d w+(?=\s)/,"attr-
000001f0: 6e 61 6d 65 22 3a 2f 5c 62 5c 77 2b 2f 7d 29 2c name":/\b\w+/}),
00000200: 65 78 70 72 65 73 73 69 6f 6e 3a 74 28 22 3d 22 expression:t("="
00000210: 2c 72 2c 6f 29 2c 22 63 6c 61 73 73 2d 66 65 61 ,r,o),"class-fea
00000220: 74 75 72 65 22 3a 74 28 22 5c 5c 2b 22 2c 72 2c ture":t("\\+",r,
00000230: 6f 29 2c 73 74 61 6e 64 61 72 64 3a 74 28 22 22 o),standard:t(""
00000240: 2c 72 2c 6f 29 7d 7d 7d 7d 7d 29 7d 28 65 29 7d ,r,o)}}}}})}(e)}
00000250: 65 2e 65 78 70 6f 72 74 73 3d 74 2c 74 2e 64 69 e.exports=t,t.di
00000260: 73 70 6c 61 79 4e 61 6d 65 3d 22 74 34 54 65 6d splayName="t4Tem
00000270: 70 6c 61 74 69 6e 67 22 2c 74 2e 61 6c 69 61 73 plating",t.alias
00000280: 65 73 3d 5b 5d 7d 2c 35 39 38 39 33 3a 66 75 6e es=[]},59893:fun
00000290: 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 ction(e,t,n){"us
000002a0: 65 20 73 74 72 69 63 74 22 3b 76 61 72 20 72 3d e strict";var r=
000002b0: 6e 28 32 35 35 33 30 29 2c 6f 3d 6e 28 32 30 34 n(25530),o=n(204
000002c0: 38 39 29 3b 66 75 6e 63 74 69 6f 6e 20 69 28 65 89);function i(e
000002d0: 29 7b 65 2e 72 65 67 69 73 74 65 72 28 72 29 2c ){e.register(r),
000002e0: 65 2e 72 65 67 69 73 74 65 72 28 6f 29 2c 65 2e e.register(o),e.
000002f0: 6c 61 6e 67 75 61 67 65 73 5b 22 74 34 2d 76 62 languages["t4-vb
00000300: 22 5d 3d 65 2e 6c 61 6e 67 75 61 67 65 73 5b 22 "]=e.languages["
00000310: 74 34 2d 74 65 6d 70 6c 61 74 69 6e 67 22 5d 2e t4-templating"].
00000320: 63 72 65 61 74 65 54 34 28 22 76 62 6e 65 74 22 createT4("vbnet"
00000330: 29 7d 65 2e 65 78 70 6f 72 74 73 3d 69 2c 69 2e )}e.exports=i,i.
00000340: 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 74 34 56 displayName="t4V
00000350: 62 22 2c 69 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d b",i.aliases=[]}
00000360: 2c 37 39 39 39 30 3a 66 75 6e 63 74 69 6f 6e 28 ,79990:function(
00000370: 65 2c 74 2c 6e 29 7b 22 75 73 65 20 73 74 72 69 e,t,n){"use stri
00000380: 63 74 22 3b 76 61 72 20 72 3d 6e 28 31 37 34 39 ct";var r=n(1749
00000390: 32 29 3b 66 75 6e 63 74 69 6f 6e 20 6f 28 65 29 2);function o(e)
000003a0: 7b 65 2e 72 65 67 69 73 74 65 72 28 72 29 2c 65 {e.register(r),e
000003b0: 2e 6c 61 6e 67 75 61 67 65 73 2e 74 61 70 3d 7b .languages.tap={
000003c0: 66 61 69 6c 3a 2f 6e 6f 74 20 6f 6b 5b 5e 23 7b fail:/not ok[^#{
000003d0: 5c 6e 5c 72 5d 2a 2f 2c 70 61 73 73 3a 2f 6f 6b \n\r]*/,pass:/ok
000003e0: 5b 5e 23 7b 5c 6e 5c 72 5d 2a 2f 2c 70 72 61 67 [^#{\n\r]*/,prag
000003f0: 6d 61 3a 2f 70 72 61 67 6d 61 20 5b 2b 2d 5d 5b ma:/pragma [+-][
00000400: 61 2d 7a 5d 2b 2f 2c 62 61 69 6c 6f 75 74 3a 2f a-z]+/,bailout:/
00000410: 62 61 69 6c 20 6f 75 74 21 2e 2a 2f 69 2c 76 65 bail out!.*/i,ve
00000420: 72 73 69 6f 6e 3a 2f 54 41 50 20 76 65 72 73 69 rsion:/TAP versi
00000430: 6f 6e 20 5c 64 2b 2f 69 2c 70 6c 61 6e 3a 2f 5c on \d+/i,plan:/\
00000440: 62 5c 64 2b 5c 2e 5c 2e 5c 64 2b 28 3f 3a 20 2b b\d+\.\.\d+(?: +
00000450: 23 2e 2a 29 3f 2f 2c 73 75 62 74 65 73 74 3a 7b #.*)?/,subtest:{
00000460: 70 61 74 74 65 72 6e 3a 2f 23 20 53 75 62 74 65 pattern:/# Subte
00000470: 73 74 28 3f 3a 3a 20 2e 2a 29 3f 2f 2c 67 72 65 st(?:: .*)?/,gre
00000480: 65 64 79 3a 21 30 7d 2c 70 75 6e 63 74 75 61 74 edy:!0},punctuat
00000490: 69 6f 6e 3a 2f 5b 7b 7d 5d 2f 2c 64 69 72 65 63 ion:/[{}]/,direc
000004a0: 74 69 76 65 3a 2f 23 2e 2a 2f 2c 79 61 6d 6c 69 tive:/#.*/,yamli
000004b0: 73 68 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 5b sh:{pattern:/(^[
000004c0: 20 5c 74 5d 2a 29 2d 2d 2d 5b 5c 73 5c 53 5d 2a \t]*)---[\s\S]*
000004d0: 3f 5b 5c 72 5c 6e 5d 5b 20 5c 74 5d 2a 5c 2e 5c ?[\r\n][ \t]*\.\
000004e0: 2e 5c 2e 24 2f 6d 2c 6c 6f 6f 6b 62 65 68 69 6e .\.$/m,lookbehin
000004f0: 64 3a 21 30 2c 69 6e 73 69 64 65 3a 65 2e 6c 61 d:!0,inside:e.la
00000500: 6e 67 75 61 67 65 73 2e 79 61 6d 6c 2c 61 6c 69 nguages.yaml,ali
00000510: 61 73 3a 22 6c 61 6e 67 75 61 67 65 2d 79 61 6d as:"language-yam
00000520: 6c 22 7d 7d 7d 65 2e 65 78 70 6f 72 74 73 3d 6f l"}}}e.exports=o
00000530: 2c 6f 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 ,o.displayName="
00000540: 74 61 70 22 2c 6f 2e 61 6c 69 61 73 65 73 3d 5b tap",o.aliases=[
00000550: 5d 7d 2c 31 32 32 39 33 3a 66 75 6e 63 74 69 6f ]},12293:functio
00000560: 6e 28 65 29 7b 22 75 73 65 20 73 74 72 69 63 74 n(e){"use strict
00000570: 22 3b 66 75 6e 63 74 69 6f 6e 20 74 28 65 29 7b ";function t(e){
00000580: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 63 6c 3d e.languages.tcl=
00000590: 7b 63 6f 6d 6d 65 6e 74 3a 7b 70 61 74 74 65 72 {comment:{patter
000005a0: 6e 3a 2f 28 5e 7c 5b 5e 5c 5c 5d 29 23 2e 2a 2f n:/(^|[^\\])#.*/
000005b0: 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c ,lookbehind:!0},
000005c0: 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 72 6e 3a string:{pattern:
000005d0: 2f 22 28 3f 3a 5b 5e 22 5c 5c 5c 72 5c 6e 5d 7c /"(?:[^"\\\r\n]|
000005e0: 5c 5c 28 3f 3a 5c 72 5c 6e 7c 5b 5c 73 5c 53 5d \\(?:\r\n|[\s\S]
000005f0: 29 29 2a 22 2f 2c 67 72 65 65 64 79 3a 21 30 7d ))*"/,greedy:!0}
00000600: 2c 76 61 72 69 61 62 6c 65 3a 5b 7b 70 61 74 74 ,variable:[{patt
00000610: 65 72 6e 3a 2f 28 5c 24 29 28 3f 3a 3a 3a 29 3f ern:/(\$)(?:::)?
00000620: 28 3f 3a 5b 61 2d 7a 41 2d 5a 30 2d 39 5d 2b 3a (?:[a-zA-Z0-9]+:
00000630: 3a 29 2a 5c 77 2b 2f 2c 6c 6f 6f 6b 62 65 68 69 :)*\w+/,lookbehi
00000640: 6e 64 3a 21 30 7d 2c 7b 70 61 74 74 65 72 6e 3a nd:!0},{pattern:
00000650: 2f 28 5c 24 29 5c 7b 5b 5e 7d 5d 2b 5c 7d 2f 2c /(\$)\{[^}]+\}/,
00000660: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 7b lookbehind:!0},{
00000670: 70 61 74 74 65 72 6e 3a 2f 28 5e 5b 5c 74 20 5d pattern:/(^[\t ]
00000680: 2a 73 65 74 5b 20 5c 74 5d 2b 29 28 3f 3a 3a 3a *set[ \t]+)(?:::
00000690: 29 3f 28 3f 3a 5b 61 2d 7a 41 2d 5a 30 2d 39 5d )?(?:[a-zA-Z0-9]
000006a0: 2b 3a 3a 29 2a 5c 77 2b 2f 6d 2c 6c 6f 6f 6b 62 +::)*\w+/m,lookb
000006b0: 65 68 69 6e 64 3a 21 30 7d 5d 2c 66 75 6e 63 74 ehind:!0}],funct
000006c0: 69 6f 6e 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5e ion:{pattern:/(^
000006d0: 5b 5c 74 20 5d 2a 70 72 6f 63 5b 20 5c 74 5d 2b [\t ]*proc[ \t]+
000006e0: 29 5c 53 2b 2f 6d 2c 6c 6f 6f 6b 62 65 68 69 6e )\S+/m,lookbehin
000006f0: 64 3a 21 30 7d 2c 62 75 69 6c 74 69 6e 3a 5b 7b d:!0},builtin:[{
00000700: 70 61 74 74 65 72 6e 3a 2f 28 5e 5b 5c 74 20 5d pattern:/(^[\t ]
00000710: 2a 29 28 3f 3a 62 72 65 61 6b 7c 63 6c 61 73 73 *)(?:break|class
00000720: 7c 63 6f 6e 74 69 6e 75 65 7c 65 72 72 6f 72 7c |continue|error|
00000730: 65 76 61 6c 7c 65 78 69 74 7c 66 6f 72 7c 66 6f eval|exit|for|fo
00000740: 72 65 61 63 68 7c 69 66 7c 70 72 6f 63 7c 72 65 reach|if|proc|re
00000750: 74 75 72 6e 7c 73 77 69 74 63 68 7c 77 68 69 6c turn|switch|whil
00000760: 65 29 5c 62 2f 6d 2c 6c 6f 6f 6b 62 65 68 69 6e e)\b/m,lookbehin
00000770: 64 3a 21 30 7d 2c 2f 5c 62 28 3f 3a 65 6c 73 65 d:!0},/\b(?:else
00000780: 7c 65 6c 73 65 69 66 29 5c 62 2f 5d 2c 73 63 6f |elseif)\b/],sco
00000790: 70 65 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 5b pe:{pattern:/(^[
000007a0: 5c 74 20 5d 2a 29 28 3f 3a 67 6c 6f 62 61 6c 7c \t ]*)(?:global|
000007b0: 75 70 76 61 72 7c 76 61 72 69 61 62 6c 65 29 5c upvar|variable)\
000007c0: 62 2f 6d 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 b/m,lookbehind:!
000007d0: 30 2c 61 6c 69 61 73 3a 22 63 6f 6e 73 74 61 6e 0,alias:"constan
000007e0: 74 22 7d 2c 6b 65 79 77 6f 72 64 3a 7b 70 61 74 t"},keyword:{pat
000007f0: 74 65 72 6e 3a 2f 28 5e 5b 5c 74 20 5d 2a 7c 5c tern:/(^[\t ]*|\
00000800: 5b 29 28 3f 3a 53 61 66 65 5f 42 61 73 65 7c 54 [)(?:Safe_Base|T
00000810: 63 6c 7c 61 66 74 65 72 7c 61 70 70 65 6e 64 7c cl|after|append|
00000820: 61 70 70 6c 79 7c 61 72 72 61 79 7c 61 75 74 6f apply|array|auto
00000830: 5f 28 3f 3a 65 78 65 63 6f 6b 7c 69 6d 70 6f 72 _(?:execok|impor
00000840: 74 7c 6c 6f 61 64 7c 6d 6b 69 6e 64 65 78 7c 71 t|load|mkindex|q
00000850: 75 61 6c 69 66 79 7c 72 65 73 65 74 29 7c 61 75 ualify|reset)|au
00000860: 74 6f 6d 6b 69 6e 64 65 78 5f 6f 6c 64 7c 62 67 tomkindex_old|bg
00000870: 65 72 72 6f 72 7c 62 69 6e 61 72 79 7c 63 61 74 error|binary|cat
00000880: 63 68 7c 63 64 7c 63 68 61 6e 7c 63 6c 6f 63 6b ch|cd|chan|clock
00000890: 7c 63 6c 6f 73 65 7c 63 6f 6e 63 61 74 7c 64 64 |close|concat|dd
000008a0: 65 7c 64 69 63 74 7c 65 6e 63 6f 64 69 6e 67 7c e|dict|encoding|
000008b0: 65 6f 66 7c 65 78 65 63 7c 65 78 70 72 7c 66 62 eof|exec|expr|fb
000008c0: 6c 6f 63 6b 65 64 7c 66 63 6f 6e 66 69 67 75 72 locked|fconfigur
000008d0: 65 7c 66 63 6f 70 79 7c 66 69 6c 65 28 3f 3a 65 e|fcopy|file(?:e
000008e0: 76 65 6e 74 7c 6e 61 6d 65 29 3f 7c 66 6c 75 73 vent|name)?|flus
000008f0: 68 7c 67 65 74 73 7c 67 6c 6f 62 7c 68 69 73 74 h|gets|glob|hist
00000900: 6f 72 79 7c 68 74 74 70 7c 69 6e 63 72 7c 69 6e ory|http|incr|in
00000910: 66 6f 7c 69 6e 74 65 72 70 7c 6a 6f 69 6e 7c 6c fo|interp|join|l
00000920: 61 70 70 65 6e 64 7c 6c 61 73 73 69 67 6e 7c 6c append|lassign|l
00000930: 69 6e 64 65 78 7c 6c 69 6e 73 65 72 74 7c 6c 69 index|linsert|li
00000940: 73 74 7c 6c 6c 65 6e 67 74 68 7c 6c 6f 61 64 7c st|llength|load|
00000950: 6c 72 61 6e 67 65 7c 6c 72 65 70 65 61 74 7c 6c lrange|lrepeat|l
00000960: 72 65 70 6c 61 63 65 7c 6c 72 65 76 65 72 73 65 replace|lreverse
00000970: 7c 6c 73 65 61 72 63 68 7c 6c 73 65 74 7c 6c 73 |lsearch|lset|ls
00000980: 6f 72 74 7c 6d 61 74 68 28 3f 3a 66 75 6e 63 7c ort|math(?:func|
00000990: 6f 70 29 7c 6d 65 6d 6f 72 79 7c 6d 73 67 63 61 op)|memory|msgca
000009a0: 74 7c 6e 61 6d 65 73 70 61 63 65 7c 6f 70 65 6e t|namespace|open
000009b0: 7c 70 61 63 6b 61 67 65 7c 70 61 72 72 61 79 7c |package|parray|
000009c0: 70 69 64 7c 70 6b 67 5f 6d 6b 49 6e 64 65 78 7c pid|pkg_mkIndex|
000009d0: 70 6c 61 74 66 6f 72 6d 7c 70 75 74 73 7c 70 77 platform|puts|pw
000009e0: 64 7c 72 65 5f 73 79 6e 74 61 78 7c 72 65 61 64 d|re_syntax|read
000009f0: 7c 72 65 66 63 68 61 6e 7c 72 65 67 65 78 70 7c |refchan|regexp|
00000a00: 72 65 67 69 73 74 72 79 7c 72 65 67 73 75 62 7c registry|regsub|
00000a10: 72 65 6e 61 6d 65 7c 73 63 61 6e 7c 73 65 65 6b rename|scan|seek
00000a20: 7c 73 65 74 7c 73 6f 63 6b 65 74 7c 73 6f 75 72 |set|socket|sour
00000a30: 63 65 7c 73 70 6c 69 74 7c 73 74 72 69 6e 67 7c ce|split|string|
00000a40: 73 75 62 73 74 7c 74 63 6c 28 3f 3a 5f 65 6e 64 subst|tcl(?:_end
00000a50: 4f 66 57 6f 72 64 7c 5f 66 69 6e 64 4c 69 62 72 OfWord|_findLibr
00000a60: 61 72 79 7c 73 74 61 72 74 4f 66 28 3f 3a 4e 65 ary|startOf(?:Ne
00000a70: 78 74 7c 50 72 65 76 69 6f 75 73 29 57 6f 72 64 xt|Previous)Word
00000a80: 7c 74 65 73 74 7c 76 61 72 73 7c 77 6f 72 64 42 |test|vars|wordB
00000a90: 72 65 61 6b 28 3f 3a 41 66 74 65 72 7c 42 65 66 reak(?:After|Bef
00000aa0: 6f 72 65 29 29 7c 74 65 6c 6c 7c 74 69 6d 65 7c ore))|tell|time|
00000ab0: 74 6d 7c 74 72 61 63 65 7c 75 6e 6b 6e 6f 77 6e tm|trace|unknown
00000ac0: 7c 75 6e 6c 6f 61 64 7c 75 6e 73 65 74 7c 75 70 |unload|unset|up
00000ad0: 64 61 74 65 7c 75 70 6c 65 76 65 6c 7c 76 77 61 date|uplevel|vwa
00000ae0: 69 74 29 5c 62 2f 6d 2c 6c 6f 6f 6b 62 65 68 69 it)\b/m,lookbehi
00000af0: 6e 64 3a 21 30 7d 2c 6f 70 65 72 61 74 6f 72 3a nd:!0},operator:
00000b00: 2f 21 3d 3f 7c 5c 2a 5c 2a 3f 7c 3d 3d 7c 26 26 /!=?|\*\*?|==|&&
00000b10: 3f 7c 5c 7c 5c 7c 3f 7c 3c 5b 3d 3c 5d 3f 7c 3e ?|\|\|?|<[=<]?|>
00000b20: 5b 3d 3e 5d 3f 7c 5b 2d 2b 7e 5c 2f 25 3f 5e 5d [=>]?|[-+~\/%?^]
00000b30: 7c 5c 62 28 3f 3a 65 71 7c 69 6e 7c 6e 65 7c 6e |\b(?:eq|in|ne|n
00000b40: 69 29 5c 62 2f 2c 70 75 6e 63 74 75 61 74 69 6f i)\b/,punctuatio
00000b50: 6e 3a 2f 5b 7b 7d 28 29 5c 5b 5c 5d 5d 2f 7d 7d n:/[{}()\[\]]/}}
00000b60: 65 2e 65 78 70 6f 72 74 73 3d 74 2c 74 2e 64 69 e.exports=t,t.di
00000b70: 73 70 6c 61 79 4e 61 6d 65 3d 22 74 63 6c 22 2c splayName="tcl",
00000b80: 74 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d 2c 35 37 t.aliases=[]},57
00000b90: 38 33 30 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 830:function(e){
00000ba0: 22 75 73 65 20 73 74 72 69 63 74 22 3b 66 75 6e "use strict";fun
00000bb0: 63 74 69 6f 6e 20 74 28 65 29 7b 21 66 75 6e 63 ction t(e){!func
00000bc0: 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d 2f 5c tion(e){var t=/\
00000bd0: 28 5b 5e 7c 28 29 5c 6e 5d 2b 5c 29 7c 5c 5b 5b ([^|()\n]+\)|\[[
00000be0: 5e 5c 5d 5c 6e 5d 2b 5c 5d 7c 5c 7b 5b 5e 7d 5c ^\]\n]+\]|\{[^}\
00000bf0: 6e 5d 2b 5c 7d 2f 2e 73 6f 75 72 63 65 2c 6e 3d n]+\}/.source,n=
00000c00: 2f 5c 29 7c 5c 28 28 3f 21 5b 5e 7c 28 29 5c 6e /\)|\((?![^|()\n
00000c10: 5d 2b 5c 29 29 2f 2e 73 6f 75 72 63 65 3b 66 75 ]+\))/.source;fu
00000c20: 6e 63 74 69 6f 6e 20 72 28 65 2c 72 29 7b 72 65 nction r(e,r){re
00000c30: 74 75 72 6e 20 52 65 67 45 78 70 28 65 2e 72 65 turn RegExp(e.re
00000c40: 70 6c 61 63 65 28 2f 3c 4d 4f 44 3e 2f 67 2c 28 place(/<MOD>/g,(
00000c50: 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 function(){retur
00000c60: 6e 22 28 3f 3a 22 2b 74 2b 22 29 22 7d 29 29 2e n"(?:"+t+")"})).
00000c70: 72 65 70 6c 61 63 65 28 2f 3c 50 41 52 3e 2f 67 replace(/<PAR>/g
00000c80: 2c 28 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 ,(function(){ret
00000c90: 75 72 6e 22 28 3f 3a 22 2b 6e 2b 22 29 22 7d 29 urn"(?:"+n+")"})
00000ca0: 29 2c 72 7c 7c 22 22 29 7d 76 61 72 20 6f 3d 7b ),r||"")}var o={
00000cb0: 63 73 73 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 7b css:{pattern:/\{
00000cc0: 5b 5e 7b 7d 5d 2b 5c 7d 2f 2c 69 6e 73 69 64 65 [^{}]+\}/,inside
00000cd0: 3a 7b 72 65 73 74 3a 65 2e 6c 61 6e 67 75 61 67 :{rest:e.languag
00000ce0: 65 73 2e 63 73 73 7d 7d 2c 22 63 6c 61 73 73 2d es.css}},"class-
00000cf0: 69 64 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5c id":{pattern:/(\
00000d00: 28 29 5b 5e 28 29 5d 2b 28 3f 3d 5c 29 29 2f 2c ()[^()]+(?=\))/,
00000d10: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 61 6c lookbehind:!0,al
00000d20: 69 61 73 3a 22 61 74 74 72 2d 76 61 6c 75 65 22 ias:"attr-value"
00000d30: 7d 2c 6c 61 6e 67 3a 7b 70 61 74 74 65 72 6e 3a },lang:{pattern:
00000d40: 2f 28 5c 5b 29 5b 5e 5c 5b 5c 5d 5d 2b 28 3f 3d /(\[)[^\[\]]+(?=
00000d50: 5c 5d 29 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a \])/,lookbehind:
00000d60: 21 30 2c 61 6c 69 61 73 3a 22 61 74 74 72 2d 76 !0,alias:"attr-v
00000d70: 61 6c 75 65 22 7d 2c 70 75 6e 63 74 75 61 74 69 alue"},punctuati
00000d80: 6f 6e 3a 2f 5b 5c 5c 5c 2f 5d 5c 64 2b 7c 5c 53 on:/[\\\/]\d+|\S
00000d90: 2f 7d 2c 69 3d 65 2e 6c 61 6e 67 75 61 67 65 73 /},i=e.languages
00000da0: 2e 74 65 78 74 69 6c 65 3d 65 2e 6c 61 6e 67 75 .textile=e.langu
00000db0: 61 67 65 73 2e 65 78 74 65 6e 64 28 22 6d 61 72 ages.extend("mar
00000dc0: 6b 75 70 22 2c 7b 70 68 72 61 73 65 3a 7b 70 61 kup",{phrase:{pa
00000dd0: 74 74 65 72 6e 3a 2f 28 5e 7c 5c 72 7c 5c 6e 29 ttern:/(^|\r|\n)
00000de0: 5c 53 5b 5c 73 5c 53 5d 2a 3f 28 3f 3d 24 7c 5c \S[\s\S]*?(?=$|\
00000df0: 72 3f 5c 6e 5c 72 3f 5c 6e 7c 5c 72 5c 72 29 2f r?\n\r?\n|\r\r)/
00000e00: 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 ,lookbehind:!0,i
00000e10: 6e 73 69 64 65 3a 7b 22 62 6c 6f 63 6b 2d 74 61 nside:{"block-ta
00000e20: 67 22 3a 7b 70 61 74 74 65 72 6e 3a 72 28 2f 5e g":{pattern:r(/^
00000e30: 5b 61 2d 7a 5d 5c 77 2a 28 3f 3a 3c 4d 4f 44 3e [a-z]\w*(?:<MOD>
00000e40: 7c 3c 50 41 52 3e 7c 5b 3c 3e 3d 5d 29 2a 5c 2e |<PAR>|[<>=])*\.
00000e50: 2f 2e 73 6f 75 72 63 65 29 2c 69 6e 73 69 64 65 /.source),inside
00000e60: 3a 7b 6d 6f 64 69 66 69 65 72 3a 7b 70 61 74 74 :{modifier:{patt
00000e70: 65 72 6e 3a 72 28 2f 28 5e 5b 61 2d 7a 5d 5c 77 ern:r(/(^[a-z]\w
00000e80: 2a 29 28 3f 3a 3c 4d 4f 44 3e 7c 3c 50 41 52 3e *)(?:<MOD>|<PAR>
00000e90: 7c 5b 3c 3e 3d 5d 29 2b 28 3f 3d 5c 2e 29 2f 2e |[<>=])+(?=\.)/.
00000ea0: 73 6f 75 72 63 65 29 2c 6c 6f 6f 6b 62 65 68 69 source),lookbehi
00000eb0: 6e 64 3a 21 30 2c 69 6e 73 69 64 65 3a 6f 7d 2c nd:!0,inside:o},
00000ec0: 74 61 67 3a 2f 5e 5b 61 2d 7a 5d 5c 77 2a 2f 2c tag:/^[a-z]\w*/,
00000ed0: 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5c 2e 24 punctuation:/\.$
00000ee0: 2f 7d 7d 2c 6c 69 73 74 3a 7b 70 61 74 74 65 72 /}},list:{patter
00000ef0: 6e 3a 72 28 2f 5e 5b 2a 23 5d 2b 3c 4d 4f 44 3e n:r(/^[*#]+<MOD>
00000f00: 2a 5c 73 2b 5c 53 2e 2a 2f 2e 73 6f 75 72 63 65 *\s+\S.*/.source
00000f10: 2c 22 6d 22 29 2c 69 6e 73 69 64 65 3a 7b 6d 6f ,"m"),inside:{mo
00000f20: 64 69 66 69 65 72 3a 7b 70 61 74 74 65 72 6e 3a difier:{pattern:
00000f30: 72 28 2f 28 5e 5b 2a 23 5d 2b 29 3c 4d 4f 44 3e r(/(^[*#]+)<MOD>
00000f40: 2b 2f 2e 73 6f 75 72 63 65 29 2c 6c 6f 6f 6b 62 +/.source),lookb
00000f50: 65 68 69 6e 64 3a 21 30 2c 69 6e 73 69 64 65 3a ehind:!0,inside:
00000f60: 6f 7d 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f o},punctuation:/
00000f70: 5e 5b 2a 23 5d 2b 2f 7d 7d 2c 74 61 62 6c 65 3a ^[*#]+/}},table:
00000f80: 7b 70 61 74 74 65 72 6e 3a 72 28 2f 5e 28 3f 3a {pattern:r(/^(?:
00000f90: 28 3f 3a 3c 4d 4f 44 3e 7c 3c 50 41 52 3e 7c 5b (?:<MOD>|<PAR>|[
00000fa0: 3c 3e 3d 5e 7e 5d 29 2b 5c 2e 5c 73 2a 29 3f 28 <>=^~])+\.\s*)?(
00000fb0: 3f 3a 5c 7c 28 3f 3a 28 3f 3a 3c 4d 4f 44 3e 7c ?:\|(?:(?:<MOD>|
00000fc0: 3c 50 41 52 3e 7c 5b 3c 3e 3d 5e 7e 5f 5d 7c 5b <PAR>|[<>=^~_]|[
00000fd0: 5c 5c 2f 5d 5c 64 2b 29 2b 5c 2e 7c 28 3f 21 28 \\/]\d+)+\.|(?!(
00000fe0: 3f 3a 3c 4d 4f 44 3e 7c 3c 50 41 52 3e 7c 5b 3c ?:<MOD>|<PAR>|[<
00000ff0: 3e 3d 5e 7e 5f 5d 7c 5b 5c 5c 2f 5d 5c 64 2b 29 >=^~_]|[\\/]\d+)
00001000: 2b 5c 2e 29 29 5b 5e 7c 5d 2a 29 2b 5c 7c 2f 2e +\.))[^|]*)+\|/.
00001010: 73 6f 75 72 63 65 2c 22 6d 22 29 2c 69 6e 73 69 source,"m"),insi
00001020: 64 65 3a 7b 6d 6f 64 69 66 69 65 72 3a 7b 70 61 de:{modifier:{pa
00001030: 74 74 65 72 6e 3a 72 28 2f 28 5e 7c 5c 7c 28 3f ttern:r(/(^|\|(?
00001040: 3a 5c 72 3f 5c 6e 7c 5c 72 29 3f 29 28 3f 3a 3c :\r?\n|\r)?)(?:<
00001050: 4d 4f 44 3e 7c 3c 50 41 52 3e 7c 5b 3c 3e 3d 5e MOD>|<PAR>|[<>=^
00001060: 7e 5f 5d 7c 5b 5c 5c 2f 5d 5c 64 2b 29 2b 28 3f ~_]|[\\/]\d+)+(?
00001070: 3d 5c 2e 29 2f 2e 73 6f 75 72 63 65 29 2c 6c 6f =\.)/.source),lo
00001080: 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 6e 73 69 okbehind:!0,insi
00001090: 64 65 3a 6f 7d 2c 70 75 6e 63 74 75 61 74 69 6f de:o},punctuatio
000010a0: 6e 3a 2f 5c 7c 7c 5e 5c 2e 2f 7d 7d 2c 69 6e 6c n:/\||^\./}},inl
000010b0: 69 6e 65 3a 7b 70 61 74 74 65 72 6e 3a 72 28 2f ine:{pattern:r(/
000010c0: 28 5e 7c 5b 5e 61 2d 7a 41 2d 5a 5c 64 5d 29 28 (^|[^a-zA-Z\d])(
000010d0: 5c 2a 5c 2a 7c 5f 5f 7c 5c 3f 5c 3f 7c 5b 2a 5f \*\*|__|\?\?|[*_
000010e0: 25 40 2b 5c 2d 5e 7e 5d 29 3c 4d 4f 44 3e 2a 2e %@+\-^~])<MOD>*.
000010f0: 2b 3f 5c 32 28 3f 21 5b 61 2d 7a 41 2d 5a 5c 64 +?\2(?![a-zA-Z\d
00001100: 5d 29 2f 2e 73 6f 75 72 63 65 29 2c 6c 6f 6f 6b ])/.source),look
00001110: 62 65 68 69 6e 64 3a 21 30 2c 69 6e 73 69 64 65 behind:!0,inside
00001120: 3a 7b 62 6f 6c 64 3a 7b 70 61 74 74 65 72 6e 3a :{bold:{pattern:
00001130: 72 28 2f 28 5e 28 5c 2a 5c 2a 3f 29 3c 4d 4f 44 r(/(^(\*\*?)<MOD
00001140: 3e 2a 29 2e 2b 3f 28 3f 3d 5c 32 29 2f 2e 73 6f >*).+?(?=\2)/.so
00001150: 75 72 63 65 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 urce),lookbehind
00001160: 3a 21 30 7d 2c 69 74 61 6c 69 63 3a 7b 70 61 74 :!0},italic:{pat
00001170: 74 65 72 6e 3a 72 28 2f 28 5e 28 5f 5f 3f 29 3c tern:r(/(^(__?)<
00001180: 4d 4f 44 3e 2a 29 2e 2b 3f 28 3f 3d 5c 32 29 2f MOD>*).+?(?=\2)/
00001190: 2e 73 6f 75 72 63 65 29 2c 6c 6f 6f 6b 62 65 68 .source),lookbeh
000011a0: 69 6e 64 3a 21 30 7d 2c 63 69 74 65 3a 7b 70 61 ind:!0},cite:{pa
000011b0: 74 74 65 72 6e 3a 72 28 2f 28 5e 5c 3f 5c 3f 3c ttern:r(/(^\?\?<
000011c0: 4d 4f 44 3e 2a 29 2e 2b 3f 28 3f 3d 5c 3f 5c 3f MOD>*).+?(?=\?\?
000011d0: 29 2f 2e 73 6f 75 72 63 65 29 2c 6c 6f 6f 6b 62 )/.source),lookb
000011e0: 65 68 69 6e 64 3a 21 30 2c 61 6c 69 61 73 3a 22 ehind:!0,alias:"
000011f0: 73 74 72 69 6e 67 22 7d 2c 63 6f 64 65 3a 7b 70 string"},code:{p
00001200: 61 74 74 65 72 6e 3a 72 28 2f 28 5e 40 3c 4d 4f attern:r(/(^@<MO
00001210: 44 3e 2a 29 2e 2b 3f 28 3f 3d 40 29 2f 2e 73 6f D>*).+?(?=@)/.so
00001220: 75 72 63 65 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 urce),lookbehind
00001230: 3a 21 30 2c 61 6c 69 61 73 3a 22 6b 65 79 77 6f :!0,alias:"keywo
00001240: 72 64 22 7d 2c 69 6e 73 65 72 74 65 64 3a 7b 70 rd"},inserted:{p
00001250: 61 74 74 65 72 6e 3a 72 28 2f 28 5e 5c 2b 3c 4d attern:r(/(^\+<M
00001260: 4f 44 3e 2a 29 2e 2b 3f 28 3f 3d 5c 2b 29 2f 2e OD>*).+?(?=\+)/.
00001270: 73 6f 75 72 63 65 29 2c 6c 6f 6f 6b 62 65 68 69 source),lookbehi
00001280: 6e 64 3a 21 30 7d 2c 64 65 6c 65 74 65 64 3a 7b nd:!0},deleted:{
00001290: 70 61 74 74 65 72 6e 3a 72 28 2f 28 5e 2d 3c 4d pattern:r(/(^-<M
000012a0: 4f 44 3e 2a 29 2e 2b 3f 28 3f 3d 2d 29 2f 2e 73 OD>*).+?(?=-)/.s
000012b0: 6f 75 72 63 65 29 2c 6c 6f 6f 6b 62 65 68 69 6e ource),lookbehin
000012c0: 64 3a 21 30 7d 2c 73 70 61 6e 3a 7b 70 61 74 74 d:!0},span:{patt
000012d0: 65 72 6e 3a 72 28 2f 28 5e 25 3c 4d 4f 44 3e 2a ern:r(/(^%<MOD>*
000012e0: 29 2e 2b 3f 28 3f 3d 25 29 2f 2e 73 6f 75 72 63 ).+?(?=%)/.sourc
000012f0: 65 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 e),lookbehind:!0
00001300: 7d 2c 6d 6f 64 69 66 69 65 72 3a 7b 70 61 74 74 },modifier:{patt
00001310: 65 72 6e 3a 72 28 2f 28 5e 5c 2a 5c 2a 7c 5f 5f ern:r(/(^\*\*|__
00001320: 7c 5c 3f 5c 3f 7c 5b 2a 5f 25 40 2b 5c 2d 5e 7e |\?\?|[*_%@+\-^~
00001330: 5d 29 3c 4d 4f 44 3e 2b 2f 2e 73 6f 75 72 63 65 ])<MOD>+/.source
00001340: 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c ),lookbehind:!0,
00001350: 69 6e 73 69 64 65 3a 6f 7d 2c 70 75 6e 63 74 75 inside:o},punctu
00001360: 61 74 69 6f 6e 3a 2f 5b 2a 5f 25 3f 40 2b 5c 2d ation:/[*_%?@+\-
00001370: 5e 7e 5d 2b 2f 7d 7d 2c 22 6c 69 6e 6b 2d 72 65 ^~]+/}},"link-re
00001380: 66 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 5e 5c 5b f":{pattern:/^\[
00001390: 5b 5e 5c 5d 5d 2b 5c 5d 5c 53 2b 24 2f 6d 2c 69 [^\]]+\]\S+$/m,i
000013a0: 6e 73 69 64 65 3a 7b 73 74 72 69 6e 67 3a 7b 70 nside:{string:{p
000013b0: 61 74 74 65 72 6e 3a 2f 28 5e 5c 5b 29 5b 5e 5c attern:/(^\[)[^\
000013c0: 5d 5d 2b 28 3f 3d 5c 5d 29 2f 2c 6c 6f 6f 6b 62 ]]+(?=\])/,lookb
000013d0: 65 68 69 6e 64 3a 21 30 7d 2c 75 72 6c 3a 7b 70 ehind:!0},url:{p
000013e0: 61 74 74 65 72 6e 3a 2f 28 5e 5c 5d 29 5c 53 2b attern:/(^\])\S+
000013f0: 24 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 $/,lookbehind:!0
00001400: 7d 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b },punctuation:/[
00001410: 5c 5b 5c 5d 5d 2f 7d 7d 2c 6c 69 6e 6b 3a 7b 70 \[\]]/}},link:{p
00001420: 61 74 74 65 72 6e 3a 72 28 2f 22 3c 4d 4f 44 3e attern:r(/"<MOD>
00001430: 2a 5b 5e 22 5d 2b 22 3a 2e 2b 3f 28 3f 3d 5b 5e *[^"]+":.+?(?=[^
00001440: 5c 77 2f 5d 3f 28 3f 3a 5c 73 7c 24 29 29 2f 2e \w/]?(?:\s|$))/.
00001450: 73 6f 75 72 63 65 29 2c 69 6e 73 69 64 65 3a 7b source),inside:{
00001460: 74 65 78 74 3a 7b 70 61 74 74 65 72 6e 3a 72 28 text:{pattern:r(
00001470: 2f 28 5e 22 3c 4d 4f 44 3e 2a 29 5b 5e 22 5d 2b /(^"<MOD>*)[^"]+
00001480: 28 3f 3d 22 29 2f 2e 73 6f 75 72 63 65 29 2c 6c (?=")/.source),l
00001490: 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 6d 6f ookbehind:!0},mo
000014a0: 64 69 66 69 65 72 3a 7b 70 61 74 74 65 72 6e 3a difier:{pattern:
000014b0: 72 28 2f 28 5e 22 29 3c 4d 4f 44 3e 2b 2f 2e 73 r(/(^")<MOD>+/.s
000014c0: 6f 75 72 63 65 29 2c 6c 6f 6f 6b 62 65 68 69 6e ource),lookbehin
000014d0: 64 3a 21 30 2c 69 6e 73 69 64 65 3a 6f 7d 2c 75 d:!0,inside:o},u
000014e0: 72 6c 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 3a 29 rl:{pattern:/(:)
000014f0: 2e 2b 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 .+/,lookbehind:!
00001500: 30 7d 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 0},punctuation:/
00001510: 5b 22 3a 5d 2f 7d 7d 2c 69 6d 61 67 65 3a 7b 70 [":]/}},image:{p
00001520: 61 74 74 65 72 6e 3a 72 28 2f 21 28 3f 3a 3c 4d attern:r(/!(?:<M
00001530: 4f 44 3e 7c 3c 50 41 52 3e 7c 5b 3c 3e 3d 5d 29 OD>|<PAR>|[<>=])
00001540: 2a 28 3f 21 5b 3c 3e 3d 5d 29 5b 5e 21 5c 73 28 *(?![<>=])[^!\s(
00001550: 29 5d 2b 28 3f 3a 5c 28 5b 5e 29 5d 2b 5c 29 29 )]+(?:\([^)]+\))
00001560: 3f 21 28 3f 3a 3a 2e 2b 3f 28 3f 3d 5b 5e 5c 77 ?!(?::.+?(?=[^\w
00001570: 2f 5d 3f 28 3f 3a 5c 73 7c 24 29 29 29 3f 2f 2e /]?(?:\s|$)))?/.
00001580: 73 6f 75 72 63 65 29 2c 69 6e 73 69 64 65 3a 7b source),inside:{
00001590: 73 6f 75 72 63 65 3a 7b 70 61 74 74 65 72 6e 3a source:{pattern:
000015a0: 72 28 2f 28 5e 21 28 3f 3a 3c 4d 4f 44 3e 7c 3c r(/(^!(?:<MOD>|<
000015b0: 50 41 52 3e 7c 5b 3c 3e 3d 5d 29 2a 29 28 3f 21 PAR>|[<>=])*)(?!
000015c0: 5b 3c 3e 3d 5d 29 5b 5e 21 5c 73 28 29 5d 2b 28 [<>=])[^!\s()]+(
000015d0: 3f 3a 5c 28 5b 5e 29 5d 2b 5c 29 29 3f 28 3f 3d ?:\([^)]+\))?(?=
000015e0: 21 29 2f 2e 73 6f 75 72 63 65 29 2c 6c 6f 6f 6b !)/.source),look
000015f0: 62 65 68 69 6e 64 3a 21 30 2c 61 6c 69 61 73 3a behind:!0,alias:
00001600: 22 75 72 6c 22 7d 2c 6d 6f 64 69 66 69 65 72 3a "url"},modifier:
00001610: 7b 70 61 74 74 65 72 6e 3a 72 28 2f 28 5e 21 29 {pattern:r(/(^!)
00001620: 28 3f 3a 3c 4d 4f 44 3e 7c 3c 50 41 52 3e 7c 5b (?:<MOD>|<PAR>|[
00001630: 3c 3e 3d 5d 29 2b 2f 2e 73 6f 75 72 63 65 29 2c <>=])+/.source),
00001640: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 6e lookbehind:!0,in
00001650: 73 69 64 65 3a 6f 7d 2c 75 72 6c 3a 7b 70 61 74 side:o},url:{pat
00001660: 74 65 72 6e 3a 2f 28 3a 29 2e 2b 2f 2c 6c 6f 6f tern:/(:).+/,loo
00001670: 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 70 75 6e 63 kbehind:!0},punc
00001680: 74 75 61 74 69 6f 6e 3a 2f 5b 21 3a 5d 2f 7d 7d tuation:/[!:]/}}
00001690: 2c 66 6f 6f 74 6e 6f 74 65 3a 7b 70 61 74 74 65 ,footnote:{patte
000016a0: 72 6e 3a 2f 5c 62 5c 5b 5c 64 2b 5c 5d 2f 2c 61 rn:/\b\[\d+\]/,a
000016b0: 6c 69 61 73 3a 22 63 6f 6d 6d 65 6e 74 22 2c 69 lias:"comment",i
000016c0: 6e 73 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 nside:{punctuati
000016d0: 6f 6e 3a 2f 5c 5b 7c 5c 5d 2f 7d 7d 2c 61 63 72 on:/\[|\]/}},acr
000016e0: 6f 6e 79 6d 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c onym:{pattern:/\
000016f0: 62 5b 41 2d 5a 5c 64 5d 2b 5c 28 5b 5e 29 5d 2b b[A-Z\d]+\([^)]+
00001700: 5c 29 2f 2c 69 6e 73 69 64 65 3a 7b 63 6f 6d 6d \)/,inside:{comm
00001710: 65 6e 74 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5c ent:{pattern:/(\
00001720: 28 29 5b 5e 28 29 5d 2b 28 3f 3d 5c 29 29 2f 2c ()[^()]+(?=\))/,
00001730: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 70 lookbehind:!0},p
00001740: 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 28 29 5d unctuation:/[()]
00001750: 2f 7d 7d 2c 6d 61 72 6b 3a 7b 70 61 74 74 65 72 /}},mark:{patter
00001760: 6e 3a 2f 5c 62 5c 28 28 3f 3a 43 7c 52 7c 54 4d n:/\b\((?:C|R|TM
00001770: 29 5c 29 2f 2c 61 6c 69 61 73 3a 22 63 6f 6d 6d )\)/,alias:"comm
00001780: 65 6e 74 22 2c 69 6e 73 69 64 65 3a 7b 70 75 6e ent",inside:{pun
00001790: 63 74 75 61 74 69 6f 6e 3a 2f 5b 28 29 5d 2f 7d ctuation:/[()]/}
000017a0: 7d 7d 7d 7d 29 2c 61 3d 69 2e 70 68 72 61 73 65 }}}}),a=i.phrase
000017b0: 2e 69 6e 73 69 64 65 2c 73 3d 7b 69 6e 6c 69 6e .inside,s={inlin
000017c0: 65 3a 61 2e 69 6e 6c 69 6e 65 2c 6c 69 6e 6b 3a e:a.inline,link:
000017d0: 61 2e 6c 69 6e 6b 2c 69 6d 61 67 65 3a 61 2e 69 a.link,image:a.i
000017e0: 6d 61 67 65 2c 66 6f 6f 74 6e 6f 74 65 3a 61 2e mage,footnote:a.
000017f0: 66 6f 6f 74 6e 6f 74 65 2c 61 63 72 6f 6e 79 6d footnote,acronym
00001800: 3a 61 2e 61 63 72 6f 6e 79 6d 2c 6d 61 72 6b 3a :a.acronym,mark:
00001810: 61 2e 6d 61 72 6b 7d 3b 69 2e 74 61 67 2e 70 61 a.mark};i.tag.pa
00001820: 74 74 65 72 6e 3d 2f 3c 5c 2f 3f 28 3f 21 5c 64 ttern=/<\/?(?!\d
00001830: 29 5b 61 2d 7a 30 2d 39 5d 2b 28 3f 3a 5c 73 2b )[a-z0-9]+(?:\s+
00001840: 5b 5e 5c 73 3e 5c 2f 3d 5d 2b 28 3f 3a 3d 28 3f [^\s>\/=]+(?:=(?
00001850: 3a 28 22 7c 27 29 28 3f 3a 5c 5c 5b 5c 73 5c 53 :("|')(?:\\[\s\S
00001860: 5d 7c 28 3f 21 5c 31 29 5b 5e 5c 5c 5d 29 2a 5c ]|(?!\1)[^\\])*\
00001870: 31 7c 5b 5e 5c 73 27 22 3e 3d 5d 2b 29 29 3f 29 1|[^\s'">=]+))?)
00001880: 2a 5c 73 2a 5c 2f 3f 3e 2f 69 3b 76 61 72 20 6c *\s*\/?>/i;var l
00001890: 3d 61 2e 69 6e 6c 69 6e 65 2e 69 6e 73 69 64 65 =a.inline.inside
000018a0: 3b 6c 2e 62 6f 6c 64 2e 69 6e 73 69 64 65 3d 73 ;l.bold.inside=s
000018b0: 2c 6c 2e 69 74 61 6c 69 63 2e 69 6e 73 69 64 65 ,l.italic.inside
000018c0: 3d 73 2c 6c 2e 69 6e 73 65 72 74 65 64 2e 69 6e =s,l.inserted.in
000018d0: 73 69 64 65 3d 73 2c 6c 2e 64 65 6c 65 74 65 64 side=s,l.deleted
000018e0: 2e 69 6e 73 69 64 65 3d 73 2c 6c 2e 73 70 61 6e .inside=s,l.span
000018f0: 2e 69 6e 73 69 64 65 3d 73 3b 76 61 72 20 63 3d .inside=s;var c=
00001900: 61 2e 74 61 62 6c 65 2e 69 6e 73 69 64 65 3b 63 a.table.inside;c
00001910: 2e 69 6e 6c 69 6e 65 3d 73 2e 69 6e 6c 69 6e 65 .inline=s.inline
00001920: 2c 63 2e 6c 69 6e 6b 3d 73 2e 6c 69 6e 6b 2c 63 ,c.link=s.link,c
00001930: 2e 69 6d 61 67 65 3d 73 2e 69 6d 61 67 65 2c 63 .image=s.image,c
00001940: 2e 66 6f 6f 74 6e 6f 74 65 3d 73 2e 66 6f 6f 74 .footnote=s.foot
00001950: 6e 6f 74 65 2c 63 2e 61 63 72 6f 6e 79 6d 3d 73 note,c.acronym=s
00001960: 2e 61 63 72 6f 6e 79 6d 2c 63 2e 6d 61 72 6b 3d .acronym,c.mark=
00001970: 73 2e 6d 61 72 6b 7d 28 65 29 7d 65 2e 65 78 70 s.mark}(e)}e.exp
00001980: 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 orts=t,t.display
00001990: 4e 61 6d 65 3d 22 74 65 78 74 69 6c 65 22 2c 74 Name="textile",t
000019a0: 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d 2c 37 30 36 .aliases=[]},706
000019b0: 35 31 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 51:function(e){"
000019c0: 75 73 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 use strict";func
000019d0: 74 69 6f 6e 20 74 28 65 29 7b 21 66 75 6e 63 74 tion t(e){!funct
000019e0: 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d 2f 28 3f ion(e){var t=/(?
000019f0: 3a 5b 5c 77 2d 5d 2b 7c 27 5b 5e 27 5c 6e 5c 72 :[\w-]+|'[^'\n\r
00001a00: 5d 2a 27 7c 22 28 3f 3a 5c 5c 2e 7c 5b 5e 5c 5c ]*'|"(?:\\.|[^\\
00001a10: 22 5c 72 5c 6e 5d 29 2a 22 29 2f 2e 73 6f 75 72 "\r\n])*")/.sour
00001a20: 63 65 3b 66 75 6e 63 74 69 6f 6e 20 6e 28 65 29 ce;function n(e)
00001a30: 7b 72 65 74 75 72 6e 20 65 2e 72 65 70 6c 61 63 {return e.replac
00001a40: 65 28 2f 5f 5f 2f 67 2c 28 66 75 6e 63 74 69 6f e(/__/g,(functio
00001a50: 6e 28 29 7b 72 65 74 75 72 6e 20 74 7d 29 29 7d n(){return t}))}
00001a60: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 6f 6d 6c e.languages.toml
00001a70: 3d 7b 63 6f 6d 6d 65 6e 74 3a 7b 70 61 74 74 65 ={comment:{patte
00001a80: 72 6e 3a 2f 23 2e 2a 2f 2c 67 72 65 65 64 79 3a rn:/#.*/,greedy:
00001a90: 21 30 7d 2c 74 61 62 6c 65 3a 7b 70 61 74 74 65 !0},table:{patte
00001aa0: 72 6e 3a 52 65 67 45 78 70 28 6e 28 2f 28 5e 5b rn:RegExp(n(/(^[
00001ab0: 5c 74 20 5d 2a 5c 5b 5c 73 2a 28 3f 3a 5c 5b 5c \t ]*\[\s*(?:\[\
00001ac0: 73 2a 29 3f 29 5f 5f 28 3f 3a 5c 73 2a 5c 2e 5c s*)?)__(?:\s*\.\
00001ad0: 73 2a 5f 5f 29 2a 28 3f 3d 5c 73 2a 5c 5d 29 2f s*__)*(?=\s*\])/
00001ae0: 2e 73 6f 75 72 63 65 29 2c 22 6d 22 29 2c 6c 6f .source),"m"),lo
00001af0: 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 67 72 65 65 okbehind:!0,gree
00001b00: 64 79 3a 21 30 2c 61 6c 69 61 73 3a 22 63 6c 61 dy:!0,alias:"cla
00001b10: 73 73 2d 6e 61 6d 65 22 7d 2c 6b 65 79 3a 7b 70 ss-name"},key:{p
00001b20: 61 74 74 65 72 6e 3a 52 65 67 45 78 70 28 6e 28 attern:RegExp(n(
00001b30: 2f 28 5e 5b 5c 74 20 5d 2a 7c 5b 7b 2c 5d 5c 73 /(^[\t ]*|[{,]\s
00001b40: 2a 29 5f 5f 28 3f 3a 5c 73 2a 5c 2e 5c 73 2a 5f *)__(?:\s*\.\s*_
00001b50: 5f 29 2a 28 3f 3d 5c 73 2a 3d 29 2f 2e 73 6f 75 _)*(?=\s*=)/.sou
00001b60: 72 63 65 29 2c 22 6d 22 29 2c 6c 6f 6f 6b 62 65 rce),"m"),lookbe
00001b70: 68 69 6e 64 3a 21 30 2c 67 72 65 65 64 79 3a 21 hind:!0,greedy:!
00001b80: 30 2c 61 6c 69 61 73 3a 22 70 72 6f 70 65 72 74 0,alias:"propert
00001b90: 79 22 7d 2c 73 74 72 69 6e 67 3a 7b 70 61 74 74 y"},string:{patt
00001ba0: 65 72 6e 3a 2f 22 22 22 28 3f 3a 5c 5c 5b 5c 73 ern:/"""(?:\\[\s
00001bb0: 5c 53 5d 7c 5b 5e 5c 5c 5d 29 2a 3f 22 22 22 7c \S]|[^\\])*?"""|
00001bc0: 27 27 27 5b 5c 73 5c 53 5d 2a 3f 27 27 27 7c 27 '''[\s\S]*?'''|'
00001bd0: 5b 5e 27 5c 6e 5c 72 5d 2a 27 7c 22 28 3f 3a 5c [^'\n\r]*'|"(?:\
00001be0: 5c 2e 7c 5b 5e 5c 5c 22 5c 72 5c 6e 5d 29 2a 22 \.|[^\\"\r\n])*"
00001bf0: 2f 2c 67 72 65 65 64 79 3a 21 30 7d 2c 64 61 74 /,greedy:!0},dat
00001c00: 65 3a 5b 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 5c e:[{pattern:/\b\
00001c10: 64 7b 34 7d 2d 5c 64 7b 32 7d 2d 5c 64 7b 32 7d d{4}-\d{2}-\d{2}
00001c20: 28 3f 3a 5b 54 5c 73 5d 5c 64 7b 32 7d 3a 5c 64 (?:[T\s]\d{2}:\d
00001c30: 7b 32 7d 3a 5c 64 7b 32 7d 28 3f 3a 5c 2e 5c 64 {2}:\d{2}(?:\.\d
00001c40: 2b 29 3f 28 3f 3a 5a 7c 5b 2b 2d 5d 5c 64 7b 32 +)?(?:Z|[+-]\d{2
00001c50: 7d 3a 5c 64 7b 32 7d 29 3f 29 3f 5c 62 2f 69 2c }:\d{2})?)?\b/i,
00001c60: 61 6c 69 61 73 3a 22 6e 75 6d 62 65 72 22 7d 2c alias:"number"},
00001c70: 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 5c 64 7b 32 {pattern:/\b\d{2
00001c80: 7d 3a 5c 64 7b 32 7d 3a 5c 64 7b 32 7d 28 3f 3a }:\d{2}:\d{2}(?:
00001c90: 5c 2e 5c 64 2b 29 3f 5c 62 2f 2c 61 6c 69 61 73 \.\d+)?\b/,alias
00001ca0: 3a 22 6e 75 6d 62 65 72 22 7d 5d 2c 6e 75 6d 62 :"number"}],numb
00001cb0: 65 72 3a 2f 28 3f 3a 5c 62 30 28 3f 3a 78 5b 5c er:/(?:\b0(?:x[\
00001cc0: 64 61 2d 7a 41 2d 5a 5d 2b 28 3f 3a 5f 5b 5c 64 da-zA-Z]+(?:_[\d
00001cd0: 61 2d 7a 41 2d 5a 5d 2b 29 2a 7c 6f 5b 30 2d 37 a-zA-Z]+)*|o[0-7
00001ce0: 5d 2b 28 3f 3a 5f 5b 30 2d 37 5d 2b 29 2a 7c 62 ]+(?:_[0-7]+)*|b
00001cf0: 5b 31 30 5d 2b 28 3f 3a 5f 5b 31 30 5d 2b 29 2a [10]+(?:_[10]+)*
00001d00: 29 29 5c 62 7c 5b 2d 2b 5d 3f 5c 62 5c 64 2b 28 ))\b|[-+]?\b\d+(
00001d10: 3f 3a 5f 5c 64 2b 29 2a 28 3f 3a 5c 2e 5c 64 2b ?:_\d+)*(?:\.\d+
00001d20: 28 3f 3a 5f 5c 64 2b 29 2a 29 3f 28 3f 3a 5b 65 (?:_\d+)*)?(?:[e
00001d30: 45 5d 5b 2b 2d 5d 3f 5c 64 2b 28 3f 3a 5f 5c 64 E][+-]?\d+(?:_\d
00001d40: 2b 29 2a 29 3f 5c 62 7c 5b 2d 2b 5d 3f 5c 62 28 +)*)?\b|[-+]?\b(
00001d50: 3f 3a 69 6e 66 7c 6e 61 6e 29 5c 62 2f 2c 62 6f ?:inf|nan)\b/,bo
00001d60: 6f 6c 65 61 6e 3a 2f 5c 62 28 3f 3a 66 61 6c 73 olean:/\b(?:fals
00001d70: 65 7c 74 72 75 65 29 5c 62 2f 2c 70 75 6e 63 74 e|true)\b/,punct
00001d80: 75 61 74 69 6f 6e 3a 2f 5b 2e 2c 3d 5b 5c 5d 7b uation:/[.,=[\]{
00001d90: 7d 5d 2f 7d 7d 28 65 29 7d 65 2e 65 78 70 6f 72 }]/}}(e)}e.expor
00001da0: 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 ts=t,t.displayNa
00001db0: 6d 65 3d 22 74 6f 6d 6c 22 2c 74 2e 61 6c 69 61 me="toml",t.alia
00001dc0: 73 65 73 3d 5b 5d 7d 2c 35 30 30 38 37 3a 66 75 ses=[]},50087:fu
00001dd0: 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 nction(e){"use s
00001de0: 74 72 69 63 74 22 3b 66 75 6e 63 74 69 6f 6e 20 trict";function
00001df0: 74 28 65 29 7b 21 66 75 6e 63 74 69 6f 6e 28 65 t(e){!function(e
00001e00: 29 7b 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 72 ){e.languages.tr
00001e10: 65 6d 6f 72 3d 7b 63 6f 6d 6d 65 6e 74 3a 7b 70 emor={comment:{p
00001e20: 61 74 74 65 72 6e 3a 2f 28 5e 7c 5b 5e 5c 5c 5d attern:/(^|[^\\]
00001e30: 29 28 3f 3a 5c 2f 5c 2a 5b 5c 73 5c 53 5d 2a 3f )(?:\/\*[\s\S]*?
00001e40: 5c 2a 5c 2f 7c 28 3f 3a 2d 2d 7c 5c 2f 5c 2f 7c \*\/|(?:--|\/\/|
00001e50: 23 29 2e 2a 29 2f 2c 6c 6f 6f 6b 62 65 68 69 6e #).*)/,lookbehin
00001e60: 64 3a 21 30 7d 2c 22 69 6e 74 65 72 70 6f 6c 61 d:!0},"interpola
00001e70: 74 65 64 2d 73 74 72 69 6e 67 22 3a 6e 75 6c 6c ted-string":null
00001e80: 2c 65 78 74 72 61 63 74 6f 72 3a 7b 70 61 74 74 ,extractor:{patt
00001e90: 65 72 6e 3a 2f 5c 62 5b 61 2d 7a 5f 5d 5c 77 2a ern:/\b[a-z_]\w*
00001ea0: 5c 7c 28 3f 3a 5b 5e 5c 72 5c 6e 5c 5c 7c 5d 7c \|(?:[^\r\n\\|]|
00001eb0: 5c 5c 28 3f 3a 5c 72 5c 6e 7c 5b 5c 73 5c 53 5d \\(?:\r\n|[\s\S]
00001ec0: 29 29 2a 5c 7c 2f 69 2c 67 72 65 65 64 79 3a 21 ))*\|/i,greedy:!
00001ed0: 30 2c 69 6e 73 69 64 65 3a 7b 72 65 67 65 78 3a 0,inside:{regex:
00001ee0: 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 72 65 29 5c {pattern:/(^re)\
00001ef0: 7c 5b 5c 73 5c 53 5d 2b 2f 2c 6c 6f 6f 6b 62 65 |[\s\S]+/,lookbe
00001f00: 68 69 6e 64 3a 21 30 7d 2c 66 75 6e 63 74 69 6f hind:!0},functio
00001f10: 6e 3a 2f 5e 5c 77 2b 2f 2c 76 61 6c 75 65 3a 2f n:/^\w+/,value:/
00001f20: 5c 7c 5b 5c 73 5c 53 5d 2b 2f 7d 7d 2c 69 64 65 \|[\s\S]+/}},ide
00001f30: 6e 74 69 66 69 65 72 3a 7b 70 61 74 74 65 72 6e ntifier:{pattern
00001f40: 3a 2f 60 5b 5e 60 5d 2a 60 2f 2c 67 72 65 65 64 :/`[^`]*`/,greed
00001f50: 79 3a 21 30 7d 2c 66 75 6e 63 74 69 6f 6e 3a 2f y:!0},function:/
00001f60: 5c 62 5b 61 2d 7a 5f 5d 5c 77 2a 28 3f 3d 5c 73 \b[a-z_]\w*(?=\s
00001f70: 2a 28 3f 3a 3a 3a 5c 73 2a 3c 7c 5c 28 29 29 5c *(?:::\s*<|\())\
00001f80: 62 2f 2c 6b 65 79 77 6f 72 64 3a 2f 5c 62 28 3f b/,keyword:/\b(?
00001f90: 3a 61 72 67 73 7c 61 73 7c 62 79 7c 63 61 73 65 :args|as|by|case
00001fa0: 7c 63 6f 6e 66 69 67 7c 63 6f 6e 6e 65 63 74 7c |config|connect|
00001fb0: 63 6f 6e 6e 65 63 74 6f 72 7c 63 6f 6e 73 74 7c connector|const|
00001fc0: 63 6f 70 79 7c 63 72 65 61 74 65 7c 64 65 66 61 copy|create|defa
00001fd0: 75 6c 74 7c 64 65 66 69 6e 65 7c 64 65 70 6c 6f ult|define|deplo
00001fe0: 79 7c 64 72 6f 70 7c 65 61 63 68 7c 65 6d 69 74 y|drop|each|emit
00001ff0: 7c 65 6e 64 7c 65 72 61 73 65 7c 65 76 65 6e 74 |end|erase|event
00002000: 7c 66 6c 6f 77 7c 66 6e 7c 66 6f 72 7c 66 72 6f |flow|fn|for|fro
00002010: 6d 7c 67 72 6f 75 70 7c 68 61 76 69 6e 67 7c 69 m|group|having|i
00002020: 6e 73 65 72 74 7c 69 6e 74 6f 7c 69 6e 74 72 69 nsert|into|intri
00002030: 6e 73 69 63 7c 6c 65 74 7c 6c 69 6e 6b 73 7c 6d nsic|let|links|m
00002040: 61 74 63 68 7c 6d 65 72 67 65 7c 6d 6f 64 7c 6d atch|merge|mod|m
00002050: 6f 76 65 7c 6f 66 7c 6f 70 65 72 61 74 6f 72 7c ove|of|operator|
00002060: 70 61 74 63 68 7c 70 69 70 65 6c 69 6e 65 7c 72 patch|pipeline|r
00002070: 65 63 75 72 7c 73 63 72 69 70 74 7c 73 65 6c 65 ecur|script|sele
00002080: 63 74 7c 73 65 74 7c 73 6c 69 64 69 6e 67 7c 73 ct|set|sliding|s
00002090: 74 61 74 65 7c 73 74 72 65 61 6d 7c 74 6f 7c 74 tate|stream|to|t
000020a0: 75 6d 62 6c 69 6e 67 7c 75 70 64 61 74 65 7c 75 umbling|update|u
000020b0: 73 65 7c 77 68 65 6e 7c 77 68 65 72 65 7c 77 69 se|when|where|wi
000020c0: 6e 64 6f 77 7c 77 69 74 68 29 5c 62 2f 2c 62 6f ndow|with)\b/,bo
000020d0: 6f 6c 65 61 6e 3a 2f 5c 62 28 3f 3a 66 61 6c 73 olean:/\b(?:fals
000020e0: 65 7c 6e 75 6c 6c 7c 74 72 75 65 29 5c 62 2f 69 e|null|true)\b/i
000020f0: 2c 6e 75 6d 62 65 72 3a 2f 5c 62 28 3f 3a 30 62 ,number:/\b(?:0b
00002100: 5b 30 31 5f 5d 2a 7c 30 78 5b 30 2d 39 61 2d 66 [01_]*|0x[0-9a-f
00002110: 41 2d 46 5f 5d 2a 7c 5c 64 5b 5c 64 5f 5d 2a 28 A-F_]*|\d[\d_]*(
00002120: 3f 3a 5c 2e 5c 64 5b 5c 64 5f 5d 2a 29 3f 28 3f ?:\.\d[\d_]*)?(?
00002130: 3a 5b 45 65 5d 5b 2b 2d 5d 3f 5b 5c 64 5f 5d 2b :[Ee][+-]?[\d_]+
00002140: 29 3f 29 5c 62 2f 2c 22 70 61 74 74 65 72 6e 2d )?)\b/,"pattern-
00002150: 70 75 6e 63 74 75 61 74 69 6f 6e 22 3a 7b 70 61 punctuation":{pa
00002160: 74 74 65 72 6e 3a 2f 25 28 3f 3d 5b 28 7b 5b 5d ttern:/%(?=[({[]
00002170: 29 2f 2c 61 6c 69 61 73 3a 22 70 75 6e 63 74 75 )/,alias:"punctu
00002180: 61 74 69 6f 6e 22 7d 2c 6f 70 65 72 61 74 6f 72 ation"},operator
00002190: 3a 2f 5b 2d 2b 2a 5c 2f 25 7e 21 5e 5d 3d 3f 7c :/[-+*\/%~!^]=?|
000021a0: 3d 5b 3d 3e 5d 3f 7c 26 5b 26 3d 5d 3f 7c 5c 7c =[=>]?|&[&=]?|\|
000021b0: 5b 7c 3d 5d 3f 7c 3c 3c 3f 3d 3f 7c 3e 3e 3f 3e [|=]?|<<?=?|>>?>
000021c0: 3f 3d 3f 7c 28 3f 3a 61 62 73 65 6e 74 7c 61 6e ?=?|(?:absent|an
000021d0: 64 7c 6e 6f 74 7c 6f 72 7c 70 72 65 73 65 6e 74 d|not|or|present
000021e0: 7c 78 6f 72 29 5c 62 2f 2c 70 75 6e 63 74 75 61 |xor)\b/,punctua
000021f0: 74 69 6f 6e 3a 2f 3a 3a 7c 5b 3b 5c 5b 5c 5d 28 tion:/::|[;\[\](
00002200: 29 5c 7b 5c 7d 2c 2e 3a 5d 2f 7d 3b 76 61 72 20 )\{\},.:]/};var
00002210: 74 3d 2f 23 5c 7b 28 3f 3a 5b 5e 22 7b 7d 5d 7c t=/#\{(?:[^"{}]|
00002220: 5c 7b 5b 5e 7b 7d 5d 2a 5c 7d 7c 22 28 3f 3a 5b \{[^{}]*\}|"(?:[
00002230: 5e 22 5c 5c 5c 72 5c 6e 5d 7c 5c 5c 28 3f 3a 5c ^"\\\r\n]|\\(?:\
00002240: 72 5c 6e 7c 5b 5c 73 5c 53 5d 29 29 2a 22 29 2a r\n|[\s\S]))*")*
00002250: 5c 7d 2f 2e 73 6f 75 72 63 65 3b 65 2e 6c 61 6e \}/.source;e.lan
00002260: 67 75 61 67 65 73 2e 74 72 65 6d 6f 72 5b 22 69 guages.tremor["i
00002270: 6e 74 65 72 70 6f 6c 61 74 65 64 2d 73 74 72 69 nterpolated-stri
00002280: 6e 67 22 5d 3d 7b 70 61 74 74 65 72 6e 3a 52 65 ng"]={pattern:Re
00002290: 67 45 78 70 28 2f 28 5e 7c 5b 5e 5c 5c 5d 29 2f gExp(/(^|[^\\])/
000022a0: 2e 73 6f 75 72 63 65 2b 27 28 3f 3a 22 22 22 28 .source+'(?:"""(
000022b0: 3f 3a 27 2b 2f 5b 5e 22 5c 5c 23 5d 7c 5c 5c 5b ?:'+/[^"\\#]|\\[
000022c0: 5c 73 5c 53 5d 7c 22 28 3f 21 22 22 29 7c 23 28 \s\S]|"(?!"")|#(
000022d0: 3f 21 5c 7b 29 2f 2e 73 6f 75 72 63 65 2b 22 7c ?!\{)/.source+"|
000022e0: 22 2b 74 2b 27 29 2a 22 22 22 7c 22 28 3f 3a 27 "+t+')*"""|"(?:'
000022f0: 2b 2f 5b 5e 22 5c 5c 5c 72 5c 6e 23 5d 7c 5c 5c +/[^"\\\r\n#]|\\
00002300: 28 3f 3a 5c 72 5c 6e 7c 5b 5c 73 5c 53 5d 29 7c (?:\r\n|[\s\S])|
00002310: 23 28 3f 21 5c 7b 29 2f 2e 73 6f 75 72 63 65 2b #(?!\{)/.source+
00002320: 22 7c 22 2b 74 2b 27 29 2a 22 29 27 29 2c 6c 6f "|"+t+')*")'),lo
00002330: 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 67 72 65 65 okbehind:!0,gree
00002340: 64 79 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 69 6e dy:!0,inside:{in
00002350: 74 65 72 70 6f 6c 61 74 69 6f 6e 3a 7b 70 61 74 terpolation:{pat
00002360: 74 65 72 6e 3a 52 65 67 45 78 70 28 74 29 2c 69 tern:RegExp(t),i
00002370: 6e 73 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 nside:{punctuati
00002380: 6f 6e 3a 2f 5e 23 5c 7b 7c 5c 7d 24 2f 2c 65 78 on:/^#\{|\}$/,ex
00002390: 70 72 65 73 73 69 6f 6e 3a 7b 70 61 74 74 65 72 pression:{patter
000023a0: 6e 3a 2f 5b 5c 73 5c 53 5d 2b 2f 2c 69 6e 73 69 n:/[\s\S]+/,insi
000023b0: 64 65 3a 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 de:e.languages.t
000023c0: 72 65 6d 6f 72 7d 7d 7d 2c 73 74 72 69 6e 67 3a remor}}},string:
000023d0: 2f 5b 5c 73 5c 53 5d 2b 2f 7d 7d 2c 65 2e 6c 61 /[\s\S]+/}},e.la
000023e0: 6e 67 75 61 67 65 73 2e 74 72 6f 79 3d 65 2e 6c nguages.troy=e.l
000023f0: 61 6e 67 75 61 67 65 73 2e 74 72 65 6d 6f 72 2c anguages.tremor,
00002400: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 72 69 63 e.languages.tric
00002410: 6b 6c 65 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e kle=e.languages.
00002420: 74 72 65 6d 6f 72 7d 28 65 29 7d 65 2e 65 78 70 tremor}(e)}e.exp
00002430: 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 orts=t,t.display
00002440: 4e 61 6d 65 3d 22 74 72 65 6d 6f 72 22 2c 74 2e Name="tremor",t.
00002450: 61 6c 69 61 73 65 73 3d 5b 5d 7d 2c 38 32 37 39 aliases=[]},8279
00002460: 32 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 2:function(e,t,n
00002470: 29 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b 76 ){"use strict";v
00002480: 61 72 20 72 3d 6e 28 34 31 32 38 34 29 2c 6f 3d ar r=n(41284),o=
00002490: 6e 28 33 35 39 37 36 29 3b 66 75 6e 63 74 69 6f n(35976);functio
000024a0: 6e 20 69 28 65 29 7b 65 2e 72 65 67 69 73 74 65 n i(e){e.registe
000024b0: 72 28 72 29 2c 65 2e 72 65 67 69 73 74 65 72 28 r(r),e.register(
000024c0: 6f 29 2c 66 75 6e 63 74 69 6f 6e 28 65 29 7b 76 o),function(e){v
000024d0: 61 72 20 74 3d 65 2e 75 74 69 6c 2e 63 6c 6f 6e ar t=e.util.clon
000024e0: 65 28 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 79 e(e.languages.ty
000024f0: 70 65 73 63 72 69 70 74 29 3b 65 2e 6c 61 6e 67 pescript);e.lang
00002500: 75 61 67 65 73 2e 74 73 78 3d 65 2e 6c 61 6e 67 uages.tsx=e.lang
00002510: 75 61 67 65 73 2e 65 78 74 65 6e 64 28 22 6a 73 uages.extend("js
00002520: 78 22 2c 74 29 2c 64 65 6c 65 74 65 20 65 2e 6c x",t),delete e.l
00002530: 61 6e 67 75 61 67 65 73 2e 74 73 78 2e 70 61 72 anguages.tsx.par
00002540: 61 6d 65 74 65 72 2c 64 65 6c 65 74 65 20 65 2e ameter,delete e.
00002550: 6c 61 6e 67 75 61 67 65 73 2e 74 73 78 5b 22 6c languages.tsx["l
00002560: 69 74 65 72 61 6c 2d 70 72 6f 70 65 72 74 79 22 iteral-property"
00002570: 5d 3b 76 61 72 20 6e 3d 65 2e 6c 61 6e 67 75 61 ];var n=e.langua
00002580: 67 65 73 2e 74 73 78 2e 74 61 67 3b 6e 2e 70 61 ges.tsx.tag;n.pa
00002590: 74 74 65 72 6e 3d 52 65 67 45 78 70 28 2f 28 5e ttern=RegExp(/(^
000025a0: 7c 5b 5e 5c 77 24 5d 7c 28 3f 3d 3c 5c 2f 29 29 |[^\w$]|(?=<\/))
000025b0: 2f 2e 73 6f 75 72 63 65 2b 22 28 3f 3a 22 2b 6e /.source+"(?:"+n
000025c0: 2e 70 61 74 74 65 72 6e 2e 73 6f 75 72 63 65 2b .pattern.source+
000025d0: 22 29 22 2c 6e 2e 70 61 74 74 65 72 6e 2e 66 6c ")",n.pattern.fl
000025e0: 61 67 73 29 2c 6e 2e 6c 6f 6f 6b 62 65 68 69 6e ags),n.lookbehin
000025f0: 64 3d 21 30 7d 28 65 29 7d 65 2e 65 78 70 6f 72 d=!0}(e)}e.expor
00002600: 74 73 3d 69 2c 69 2e 64 69 73 70 6c 61 79 4e 61 ts=i,i.displayNa
00002610: 6d 65 3d 22 74 73 78 22 2c 69 2e 61 6c 69 61 73 me="tsx",i.alias
00002620: 65 73 3d 5b 5d 7d 2c 34 37 39 37 33 3a 66 75 6e es=[]},47973:fun
00002630: 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 ction(e,t,n){"us
00002640: 65 20 73 74 72 69 63 74 22 3b 76 61 72 20 72 3d e strict";var r=
00002650: 6e 28 35 36 36 36 37 29 3b 66 75 6e 63 74 69 6f n(56667);functio
00002660: 6e 20 6f 28 65 29 7b 65 2e 72 65 67 69 73 74 65 n o(e){e.registe
00002670: 72 28 72 29 2c 66 75 6e 63 74 69 6f 6e 28 65 29 r(r),function(e)
00002680: 7b 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 74 32 {e.languages.tt2
00002690: 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e 65 78 74 =e.languages.ext
000026a0: 65 6e 64 28 22 63 6c 69 6b 65 22 2c 7b 63 6f 6d end("clike",{com
000026b0: 6d 65 6e 74 3a 2f 23 2e 2a 7c 5c 5b 25 23 5b 5c ment:/#.*|\[%#[\
000026c0: 73 5c 53 5d 2a 3f 25 5c 5d 2f 2c 6b 65 79 77 6f s\S]*?%\]/,keywo
000026d0: 72 64 3a 2f 5c 62 28 3f 3a 42 4c 4f 43 4b 7c 43 rd:/\b(?:BLOCK|C
000026e0: 41 4c 4c 7c 43 41 53 45 7c 43 41 54 43 48 7c 43 ALL|CASE|CATCH|C
000026f0: 4c 45 41 52 7c 44 45 42 55 47 7c 44 45 46 41 55 LEAR|DEBUG|DEFAU
00002700: 4c 54 7c 45 4c 53 45 7c 45 4c 53 49 46 7c 45 4e LT|ELSE|ELSIF|EN
00002710: 44 7c 46 49 4c 54 45 52 7c 46 49 4e 41 4c 7c 46 D|FILTER|FINAL|F
00002720: 4f 52 45 41 43 48 7c 47 45 54 7c 49 46 7c 49 4e OREACH|GET|IF|IN
00002730: 7c 49 4e 43 4c 55 44 45 7c 49 4e 53 45 52 54 7c |INCLUDE|INSERT|
00002740: 4c 41 53 54 7c 4d 41 43 52 4f 7c 4d 45 54 41 7c LAST|MACRO|META|
00002750: 4e 45 58 54 7c 50 45 52 4c 7c 50 52 4f 43 45 53 NEXT|PERL|PROCES
00002760: 53 7c 52 41 57 50 45 52 4c 7c 52 45 54 55 52 4e S|RAWPERL|RETURN
00002770: 7c 53 45 54 7c 53 54 4f 50 7c 53 57 49 54 43 48 |SET|STOP|SWITCH
00002780: 7c 54 41 47 53 7c 54 48 52 4f 57 7c 54 52 59 7c |TAGS|THROW|TRY|
00002790: 55 4e 4c 45 53 53 7c 55 53 45 7c 57 48 49 4c 45 UNLESS|USE|WHILE
000027a0: 7c 57 52 41 50 50 45 52 29 5c 62 2f 2c 70 75 6e |WRAPPER)\b/,pun
000027b0: 63 74 75 61 74 69 6f 6e 3a 2f 5b 5b 5c 5d 7b 7d ctuation:/[[\]{}
000027c0: 2c 28 29 5d 2f 7d 29 2c 65 2e 6c 61 6e 67 75 61 ,()]/}),e.langua
000027d0: 67 65 73 2e 69 6e 73 65 72 74 42 65 66 6f 72 65 ges.insertBefore
000027e0: 28 22 74 74 32 22 2c 22 6e 75 6d 62 65 72 22 2c ("tt2","number",
000027f0: 7b 6f 70 65 72 61 74 6f 72 3a 2f 3d 5b 3e 3d 5d {operator:/=[>=]
00002800: 3f 7c 21 3d 3f 7c 3c 3d 3f 7c 3e 3d 3f 7c 26 26 ?|!=?|<=?|>=?|&&
00002810: 7c 5c 7c 5c 7c 3f 7c 5c 62 28 3f 3a 61 6e 64 7c |\|\|?|\b(?:and|
00002820: 6e 6f 74 7c 6f 72 29 5c 62 2f 2c 76 61 72 69 61 not|or)\b/,varia
00002830: 62 6c 65 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 ble:{pattern:/\b
00002840: 5b 61 2d 7a 5d 5c 77 2a 28 3f 3a 5c 73 2a 5c 2e [a-z]\w*(?:\s*\.
00002850: 5c 73 2a 28 3f 3a 5c 64 2b 7c 5c 24 3f 5b 61 2d \s*(?:\d+|\$?[a-
00002860: 7a 5d 5c 77 2a 29 29 2a 5c 62 2f 69 7d 7d 29 2c z]\w*))*\b/i}}),
00002870: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 69 6e 73 65 e.languages.inse
00002880: 72 74 42 65 66 6f 72 65 28 22 74 74 32 22 2c 22 rtBefore("tt2","
00002890: 6b 65 79 77 6f 72 64 22 2c 7b 64 65 6c 69 6d 69 keyword",{delimi
000028a0: 74 65 72 3a 7b 70 61 74 74 65 72 6e 3a 2f 5e 28 ter:{pattern:/^(
000028b0: 3f 3a 5c 5b 25 7c 25 25 29 2d 3f 7c 2d 3f 25 5c ?:\[%|%%)-?|-?%\
000028c0: 5d 24 2f 2c 61 6c 69 61 73 3a 22 70 75 6e 63 74 ]$/,alias:"punct
000028d0: 75 61 74 69 6f 6e 22 7d 7d 29 2c 65 2e 6c 61 6e uation"}}),e.lan
000028e0: 67 75 61 67 65 73 2e 69 6e 73 65 72 74 42 65 66 guages.insertBef
000028f0: 6f 72 65 28 22 74 74 32 22 2c 22 73 74 72 69 6e ore("tt2","strin
00002900: 67 22 2c 7b 22 73 69 6e 67 6c 65 2d 71 75 6f 74 g",{"single-quot
00002910: 65 64 2d 73 74 72 69 6e 67 22 3a 7b 70 61 74 74 ed-string":{patt
00002920: 65 72 6e 3a 2f 27 5b 5e 5c 5c 27 5d 2a 28 3f 3a ern:/'[^\\']*(?:
00002930: 5c 5c 5b 5c 73 5c 53 5d 5b 5e 5c 5c 27 5d 2a 29 \\[\s\S][^\\']*)
00002940: 2a 27 2f 2c 67 72 65 65 64 79 3a 21 30 2c 61 6c *'/,greedy:!0,al
00002950: 69 61 73 3a 22 73 74 72 69 6e 67 22 7d 2c 22 64 ias:"string"},"d
00002960: 6f 75 62 6c 65 2d 71 75 6f 74 65 64 2d 73 74 72 ouble-quoted-str
00002970: 69 6e 67 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 22 ing":{pattern:/"
00002980: 5b 5e 5c 5c 22 5d 2a 28 3f 3a 5c 5c 5b 5c 73 5c [^\\"]*(?:\\[\s\
00002990: 53 5d 5b 5e 5c 5c 22 5d 2a 29 2a 22 2f 2c 67 72 S][^\\"]*)*"/,gr
000029a0: 65 65 64 79 3a 21 30 2c 61 6c 69 61 73 3a 22 73 eedy:!0,alias:"s
000029b0: 74 72 69 6e 67 22 2c 69 6e 73 69 64 65 3a 7b 76 tring",inside:{v
000029c0: 61 72 69 61 62 6c 65 3a 7b 70 61 74 74 65 72 6e ariable:{pattern
000029d0: 3a 2f 5c 24 28 3f 3a 5b 61 2d 7a 5d 5c 77 2a 28 :/\$(?:[a-z]\w*(
000029e0: 3f 3a 5c 2e 28 3f 3a 5c 64 2b 7c 5c 24 3f 5b 61 ?:\.(?:\d+|\$?[a
000029f0: 2d 7a 5d 5c 77 2a 29 29 2a 29 2f 69 7d 7d 7d 7d -z]\w*))*)/i}}}}
00002a00: 29 2c 64 65 6c 65 74 65 20 65 2e 6c 61 6e 67 75 ),delete e.langu
00002a10: 61 67 65 73 2e 74 74 32 2e 73 74 72 69 6e 67 2c ages.tt2.string,
00002a20: 65 2e 68 6f 6f 6b 73 2e 61 64 64 28 22 62 65 66 e.hooks.add("bef
00002a30: 6f 72 65 2d 74 6f 6b 65 6e 69 7a 65 22 2c 28 66 ore-tokenize",(f
00002a40: 75 6e 63 74 69 6f 6e 28 74 29 7b 65 2e 6c 61 6e unction(t){e.lan
00002a50: 67 75 61 67 65 73 5b 22 6d 61 72 6b 75 70 2d 74 guages["markup-t
00002a60: 65 6d 70 6c 61 74 69 6e 67 22 5d 2e 62 75 69 6c emplating"].buil
00002a70: 64 50 6c 61 63 65 68 6f 6c 64 65 72 73 28 74 2c dPlaceholders(t,
00002a80: 22 74 74 32 22 2c 2f 5c 5b 25 5b 5c 73 5c 53 5d "tt2",/\[%[\s\S]
00002a90: 2b 3f 25 5c 5d 2f 67 29 7d 29 29 2c 65 2e 68 6f +?%\]/g)})),e.ho
00002aa0: 6f 6b 73 2e 61 64 64 28 22 61 66 74 65 72 2d 74 oks.add("after-t
00002ab0: 6f 6b 65 6e 69 7a 65 22 2c 28 66 75 6e 63 74 69 okenize",(functi
00002ac0: 6f 6e 28 74 29 7b 65 2e 6c 61 6e 67 75 61 67 65 on(t){e.language
00002ad0: 73 5b 22 6d 61 72 6b 75 70 2d 74 65 6d 70 6c 61 s["markup-templa
00002ae0: 74 69 6e 67 22 5d 2e 74 6f 6b 65 6e 69 7a 65 50 ting"].tokenizeP
00002af0: 6c 61 63 65 68 6f 6c 64 65 72 73 28 74 2c 22 74 laceholders(t,"t
00002b00: 74 32 22 29 7d 29 29 7d 28 65 29 7d 65 2e 65 78 t2")}))}(e)}e.ex
00002b10: 70 6f 72 74 73 3d 6f 2c 6f 2e 64 69 73 70 6c 61 ports=o,o.displa
00002b20: 79 4e 61 6d 65 3d 22 74 74 32 22 2c 6f 2e 61 6c yName="tt2",o.al
00002b30: 69 61 73 65 73 3d 5b 5d 7d 2c 32 34 31 34 37 3a iases=[]},24147:
00002b40: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 65 function(e){"use
00002b50: 20 73 74 72 69 63 74 22 3b 66 75 6e 63 74 69 6f strict";functio
00002b60: 6e 20 74 28 65 29 7b 65 2e 6c 61 6e 67 75 61 67 n t(e){e.languag
00002b70: 65 73 2e 74 75 72 74 6c 65 3d 7b 63 6f 6d 6d 65 es.turtle={comme
00002b80: 6e 74 3a 7b 70 61 74 74 65 72 6e 3a 2f 23 2e 2a nt:{pattern:/#.*
00002b90: 2f 2c 67 72 65 65 64 79 3a 21 30 7d 2c 22 6d 75 /,greedy:!0},"mu
00002ba0: 6c 74 69 6c 69 6e 65 2d 73 74 72 69 6e 67 22 3a ltiline-string":
00002bb0: 7b 70 61 74 74 65 72 6e 3a 2f 22 22 22 28 3f 3a {pattern:/"""(?:
00002bc0: 28 3f 3a 22 22 3f 29 3f 28 3f 3a 5b 5e 22 5c 5c (?:""?)?(?:[^"\\
00002bd0: 5d 7c 5c 5c 2e 29 29 2a 22 22 22 7c 27 27 27 28 ]|\\.))*"""|'''(
00002be0: 3f 3a 28 3f 3a 27 27 3f 29 3f 28 3f 3a 5b 5e 27 ?:(?:''?)?(?:[^'
00002bf0: 5c 5c 5d 7c 5c 5c 2e 29 29 2a 27 27 27 2f 2c 67 \\]|\\.))*'''/,g
00002c00: 72 65 65 64 79 3a 21 30 2c 61 6c 69 61 73 3a 22 reedy:!0,alias:"
00002c10: 73 74 72 69 6e 67 22 2c 69 6e 73 69 64 65 3a 7b string",inside:{
00002c20: 63 6f 6d 6d 65 6e 74 3a 2f 23 2e 2a 2f 7d 7d 2c comment:/#.*/}},
00002c30: 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 72 6e 3a string:{pattern:
00002c40: 2f 22 28 3f 3a 5b 5e 5c 5c 22 5c 72 5c 6e 5d 7c /"(?:[^\\"\r\n]|
00002c50: 5c 5c 2e 29 2a 22 7c 27 28 3f 3a 5b 5e 5c 5c 27 \\.)*"|'(?:[^\\'
00002c60: 5c 72 5c 6e 5d 7c 5c 5c 2e 29 2a 27 2f 2c 67 72 \r\n]|\\.)*'/,gr
00002c70: 65 65 64 79 3a 21 30 7d 2c 75 72 6c 3a 7b 70 61 eedy:!0},url:{pa
00002c80: 74 74 65 72 6e 3a 2f 3c 28 3f 3a 5b 5e 5c 78 30 ttern:/<(?:[^\x0
00002c90: 30 2d 5c 78 32 30 3c 3e 22 7b 7d 7c 5e 60 5c 5c 0-\x20<>"{}|^`\\
00002ca0: 5d 7c 5c 5c 28 3f 3a 75 5b 5c 64 61 2d 66 41 2d ]|\\(?:u[\da-fA-
00002cb0: 46 5d 7b 34 7d 7c 55 5b 5c 64 61 2d 66 41 2d 46 F]{4}|U[\da-fA-F
00002cc0: 5d 7b 38 7d 29 29 2a 3e 2f 2c 67 72 65 65 64 79 ]{8}))*>/,greedy
00002cd0: 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 70 75 6e 63 :!0,inside:{punc
00002ce0: 74 75 61 74 69 6f 6e 3a 2f 5b 3c 3e 5d 2f 7d 7d tuation:/[<>]/}}
00002cf0: 2c 66 75 6e 63 74 69 6f 6e 3a 7b 70 61 74 74 65 ,function:{patte
00002d00: 72 6e 3a 2f 28 3f 3a 28 3f 21 5b 2d 2e 5c 64 5c rn:/(?:(?![-.\d\
00002d10: 78 42 37 5d 29 5b 2d 2e 5c 77 5c 78 42 37 5c 78 xB7])[-.\w\xB7\x
00002d20: 43 30 2d 5c 75 46 46 46 44 5d 2b 29 3f 3a 28 3f C0-\uFFFD]+)?:(?
00002d30: 3a 28 3f 21 5b 2d 2e 5d 29 28 3f 3a 5b 2d 2e 3a :(?![-.])(?:[-.:
00002d40: 5c 77 5c 78 43 30 2d 5c 75 46 46 46 44 5d 7c 25 \w\xC0-\uFFFD]|%
00002d50: 5b 5c 64 61 2d 66 5d 7b 32 7d 7c 5c 5c 2e 29 2b [\da-f]{2}|\\.)+
00002d60: 29 3f 2f 69 2c 69 6e 73 69 64 65 3a 7b 22 6c 6f )?/i,inside:{"lo
00002d70: 63 61 6c 2d 6e 61 6d 65 22 3a 7b 70 61 74 74 65 cal-name":{patte
00002d80: 72 6e 3a 2f 28 5b 5e 3a 5d 2a 3a 29 5b 5c 73 5c rn:/([^:]*:)[\s\
00002d90: 53 5d 2b 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a S]+/,lookbehind:
00002da0: 21 30 7d 2c 70 72 65 66 69 78 3a 7b 70 61 74 74 !0},prefix:{patt
00002db0: 65 72 6e 3a 2f 5b 5c 73 5c 53 5d 2b 2f 2c 69 6e ern:/[\s\S]+/,in
00002dc0: 73 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f side:{punctuatio
00002dd0: 6e 3a 2f 3a 2f 7d 7d 7d 7d 2c 6e 75 6d 62 65 72 n:/:/}}}},number
00002de0: 3a 2f 5b 2b 2d 5d 3f 5c 62 5c 64 2b 28 3f 3a 5c :/[+-]?\b\d+(?:\
00002df0: 2e 5c 64 2a 29 3f 28 3f 3a 65 5b 2b 2d 5d 3f 5c .\d*)?(?:e[+-]?\
00002e00: 64 2b 29 3f 2f 69 2c 70 75 6e 63 74 75 61 74 69 d+)?/i,punctuati
00002e10: 6f 6e 3a 2f 5b 7b 7d 2e 2c 3b 28 29 5b 5c 5d 5d on:/[{}.,;()[\]]
00002e20: 7c 5c 5e 5c 5e 2f 2c 62 6f 6f 6c 65 61 6e 3a 2f |\^\^/,boolean:/
00002e30: 5c 62 28 3f 3a 66 61 6c 73 65 7c 74 72 75 65 29 \b(?:false|true)
00002e40: 5c 62 2f 2c 6b 65 79 77 6f 72 64 3a 5b 2f 28 3f \b/,keyword:[/(?
00002e50: 3a 5c 62 61 7c 40 70 72 65 66 69 78 7c 40 62 61 :\ba|@prefix|@ba
00002e60: 73 65 29 5c 62 7c 3d 2f 2c 2f 5c 62 28 3f 3a 62 se)\b|=/,/\b(?:b
00002e70: 61 73 65 7c 67 72 61 70 68 7c 70 72 65 66 69 78 ase|graph|prefix
00002e80: 29 5c 62 2f 69 5d 2c 74 61 67 3a 7b 70 61 74 74 )\b/i],tag:{patt
00002e90: 65 72 6e 3a 2f 40 5b 61 2d 7a 5d 2b 28 3f 3a 2d ern:/@[a-z]+(?:-
00002ea0: 5b 61 2d 7a 5c 64 5d 2b 29 2a 2f 69 2c 69 6e 73 [a-z\d]+)*/i,ins
00002eb0: 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e ide:{punctuation
00002ec0: 3a 2f 40 2f 7d 7d 7d 2c 65 2e 6c 61 6e 67 75 61 :/@/}}},e.langua
00002ed0: 67 65 73 2e 74 72 69 67 3d 65 2e 6c 61 6e 67 75 ges.trig=e.langu
00002ee0: 61 67 65 73 2e 74 75 72 74 6c 65 7d 65 2e 65 78 ages.turtle}e.ex
00002ef0: 70 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 ports=t,t.displa
00002f00: 79 4e 61 6d 65 3d 22 74 75 72 74 6c 65 22 2c 74 yName="turtle",t
00002f10: 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d 2c 31 32 38 .aliases=[]},128
00002f20: 31 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 1:function(e,t,n
00002f30: 29 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b 76 ){"use strict";v
00002f40: 61 72 20 72 3d 6e 28 35 36 36 36 37 29 3b 66 75 ar r=n(56667);fu
00002f50: 6e 63 74 69 6f 6e 20 6f 28 65 29 7b 65 2e 72 65 nction o(e){e.re
00002f60: 67 69 73 74 65 72 28 72 29 2c 65 2e 6c 61 6e 67 gister(r),e.lang
00002f70: 75 61 67 65 73 2e 74 77 69 67 3d 7b 63 6f 6d 6d uages.twig={comm
00002f80: 65 6e 74 3a 2f 5e 5c 7b 23 5b 5c 73 5c 53 5d 2a ent:/^\{#[\s\S]*
00002f90: 3f 23 5c 7d 24 2f 2c 22 74 61 67 2d 6e 61 6d 65 ?#\}$/,"tag-name
00002fa0: 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 5c 7b ":{pattern:/(^\{
00002fb0: 25 2d 3f 5c 73 2a 29 5c 77 2b 2f 2c 6c 6f 6f 6b %-?\s*)\w+/,look
00002fc0: 62 65 68 69 6e 64 3a 21 30 2c 61 6c 69 61 73 3a behind:!0,alias:
00002fd0: 22 6b 65 79 77 6f 72 64 22 7d 2c 64 65 6c 69 6d "keyword"},delim
00002fe0: 69 74 65 72 3a 7b 70 61 74 74 65 72 6e 3a 2f 5e iter:{pattern:/^
00002ff0: 5c 7b 5b 7b 25 5d 2d 3f 7c 2d 3f 5b 25 7d 5d 5c \{[{%]-?|-?[%}]\
00003000: 7d 24 2f 2c 61 6c 69 61 73 3a 22 70 75 6e 63 74 }$/,alias:"punct
00003010: 75 61 74 69 6f 6e 22 7d 2c 73 74 72 69 6e 67 3a uation"},string:
00003020: 7b 70 61 74 74 65 72 6e 3a 2f 28 22 7c 27 29 28 {pattern:/("|')(
00003030: 3f 3a 5c 5c 2e 7c 28 3f 21 5c 31 29 5b 5e 5c 5c ?:\\.|(?!\1)[^\\
00003040: 5c 72 5c 6e 5d 29 2a 5c 31 2f 2c 69 6e 73 69 64 \r\n])*\1/,insid
00003050: 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f e:{punctuation:/
00003060: 5e 5b 27 22 5d 7c 5b 27 22 5d 24 2f 7d 7d 2c 6b ^['"]|['"]$/}},k
00003070: 65 79 77 6f 72 64 3a 2f 5c 62 28 3f 3a 65 76 65 eyword:/\b(?:eve
00003080: 6e 7c 69 66 7c 6f 64 64 29 5c 62 2f 2c 62 6f 6f n|if|odd)\b/,boo
00003090: 6c 65 61 6e 3a 2f 5c 62 28 3f 3a 66 61 6c 73 65 lean:/\b(?:false
000030a0: 7c 6e 75 6c 6c 7c 74 72 75 65 29 5c 62 2f 2c 6e |null|true)\b/,n
000030b0: 75 6d 62 65 72 3a 2f 5c 62 30 78 5b 5c 64 41 2d umber:/\b0x[\dA-
000030c0: 46 61 2d 66 5d 2b 7c 28 3f 3a 5c 62 5c 64 2b 28 Fa-f]+|(?:\b\d+(
000030d0: 3f 3a 5c 2e 5c 64 2a 29 3f 7c 5c 42 5c 2e 5c 64 ?:\.\d*)?|\B\.\d
000030e0: 2b 29 28 3f 3a 5b 45 65 5d 5b 2d 2b 5d 3f 5c 64 +)(?:[Ee][-+]?\d
000030f0: 2b 29 3f 2f 2c 6f 70 65 72 61 74 6f 72 3a 5b 7b +)?/,operator:[{
00003100: 70 61 74 74 65 72 6e 3a 2f 28 5c 73 29 28 3f 3a pattern:/(\s)(?:
00003110: 61 6e 64 7c 62 2d 61 6e 64 7c 62 2d 6f 72 7c 62 and|b-and|b-or|b
00003120: 2d 78 6f 72 7c 65 6e 64 73 20 77 69 74 68 7c 69 -xor|ends with|i
00003130: 6e 7c 69 73 7c 6d 61 74 63 68 65 73 7c 6e 6f 74 n|is|matches|not
00003140: 7c 6f 72 7c 73 61 6d 65 20 61 73 7c 73 74 61 72 |or|same as|star
00003150: 74 73 20 77 69 74 68 29 28 3f 3d 5c 73 29 2f 2c ts with)(?=\s)/,
00003160: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 2f lookbehind:!0},/
00003170: 5b 3d 3c 3e 5d 3d 3f 7c 21 3d 7c 5c 2a 5c 2a 3f [=<>]=?|!=|\*\*?
00003180: 7c 5c 2f 5c 2f 3f 7c 5c 3f 3a 3f 7c 5b 2d 2b 7e |\/\/?|\?:?|[-+~
00003190: 25 7c 5d 2f 5d 2c 70 75 6e 63 74 75 61 74 69 6f %|]/],punctuatio
000031a0: 6e 3a 2f 5b 28 29 5c 5b 5c 5d 7b 7d 3a 2e 2c 5d n:/[()\[\]{}:.,]
000031b0: 2f 7d 2c 65 2e 68 6f 6f 6b 73 2e 61 64 64 28 22 /},e.hooks.add("
000031c0: 62 65 66 6f 72 65 2d 74 6f 6b 65 6e 69 7a 65 22 before-tokenize"
000031d0: 2c 28 66 75 6e 63 74 69 6f 6e 28 74 29 7b 69 66 ,(function(t){if
000031e0: 28 22 74 77 69 67 22 3d 3d 3d 74 2e 6c 61 6e 67 ("twig"===t.lang
000031f0: 75 61 67 65 29 7b 65 2e 6c 61 6e 67 75 61 67 65 uage){e.language
00003200: 73 5b 22 6d 61 72 6b 75 70 2d 74 65 6d 70 6c 61 s["markup-templa
00003210: 74 69 6e 67 22 5d 2e 62 75 69 6c 64 50 6c 61 63 ting"].buildPlac
00003220: 65 68 6f 6c 64 65 72 73 28 74 2c 22 74 77 69 67 eholders(t,"twig
00003230: 22 2c 2f 5c 7b 28 3f 3a 23 5b 5c 73 5c 53 5d 2a ",/\{(?:#[\s\S]*
00003240: 3f 23 7c 25 5b 5c 73 5c 53 5d 2a 3f 25 7c 5c 7b ?#|%[\s\S]*?%|\{
00003250: 5b 5c 73 5c 53 5d 2a 3f 5c 7d 29 5c 7d 2f 67 29 [\s\S]*?\})\}/g)
00003260: 7d 7d 29 29 2c 65 2e 68 6f 6f 6b 73 2e 61 64 64 }})),e.hooks.add
00003270: 28 22 61 66 74 65 72 2d 74 6f 6b 65 6e 69 7a 65 ("after-tokenize
00003280: 22 2c 28 66 75 6e 63 74 69 6f 6e 28 74 29 7b 65 ",(function(t){e
00003290: 2e 6c 61 6e 67 75 61 67 65 73 5b 22 6d 61 72 6b .languages["mark
000032a0: 75 70 2d 74 65 6d 70 6c 61 74 69 6e 67 22 5d 2e up-templating"].
000032b0: 74 6f 6b 65 6e 69 7a 65 50 6c 61 63 65 68 6f 6c tokenizePlacehol
000032c0: 64 65 72 73 28 74 2c 22 74 77 69 67 22 29 7d 29 ders(t,"twig")})
000032d0: 29 7d 65 2e 65 78 70 6f 72 74 73 3d 6f 2c 6f 2e )}e.exports=o,o.
000032e0: 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 74 77 69 displayName="twi
000032f0: 67 22 2c 6f 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d g",o.aliases=[]}
00003300: 2c 33 35 39 37 36 3a 66 75 6e 63 74 69 6f 6e 28 ,35976:function(
00003310: 65 29 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b e){"use strict";
00003320: 66 75 6e 63 74 69 6f 6e 20 74 28 65 29 7b 21 66 function t(e){!f
00003330: 75 6e 63 74 69 6f 6e 28 65 29 7b 65 2e 6c 61 6e unction(e){e.lan
00003340: 67 75 61 67 65 73 2e 74 79 70 65 73 63 72 69 70 guages.typescrip
00003350: 74 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e 65 78 t=e.languages.ex
00003360: 74 65 6e 64 28 22 6a 61 76 61 73 63 72 69 70 74 tend("javascript
00003370: 22 2c 7b 22 63 6c 61 73 73 2d 6e 61 6d 65 22 3a ",{"class-name":
00003380: 7b 70 61 74 74 65 72 6e 3a 2f 28 5c 62 28 3f 3a {pattern:/(\b(?:
00003390: 63 6c 61 73 73 7c 65 78 74 65 6e 64 73 7c 69 6d class|extends|im
000033a0: 70 6c 65 6d 65 6e 74 73 7c 69 6e 73 74 61 6e 63 plements|instanc
000033b0: 65 6f 66 7c 69 6e 74 65 72 66 61 63 65 7c 6e 65 eof|interface|ne
000033c0: 77 7c 74 79 70 65 29 5c 73 2b 29 28 3f 21 6b 65 w|type)\s+)(?!ke
000033d0: 79 6f 66 5c 62 29 28 3f 21 5c 73 29 5b 5f 24 61 yof\b)(?!\s)[_$a
000033e0: 2d 7a 41 2d 5a 5c 78 41 30 2d 5c 75 46 46 46 46 -zA-Z\xA0-\uFFFF
000033f0: 5d 28 3f 3a 28 3f 21 5c 73 29 5b 24 5c 77 5c 78 ](?:(?!\s)[$\w\x
00003400: 41 30 2d 5c 75 46 46 46 46 5d 29 2a 28 3f 3a 5c A0-\uFFFF])*(?:\
00003410: 73 2a 3c 28 3f 3a 5b 5e 3c 3e 5d 7c 3c 28 3f 3a s*<(?:[^<>]|<(?:
00003420: 5b 5e 3c 3e 5d 7c 3c 5b 5e 3c 3e 5d 2a 3e 29 2a [^<>]|<[^<>]*>)*
00003430: 3e 29 2a 3e 29 3f 2f 2c 6c 6f 6f 6b 62 65 68 69 >)*>)?/,lookbehi
00003440: 6e 64 3a 21 30 2c 67 72 65 65 64 79 3a 21 30 2c nd:!0,greedy:!0,
00003450: 69 6e 73 69 64 65 3a 6e 75 6c 6c 7d 2c 62 75 69 inside:null},bui
00003460: 6c 74 69 6e 3a 2f 5c 62 28 3f 3a 41 72 72 61 79 ltin:/\b(?:Array
00003470: 7c 46 75 6e 63 74 69 6f 6e 7c 50 72 6f 6d 69 73 |Function|Promis
00003480: 65 7c 61 6e 79 7c 62 6f 6f 6c 65 61 6e 7c 63 6f e|any|boolean|co
00003490: 6e 73 6f 6c 65 7c 6e 65 76 65 72 7c 6e 75 6d 62 nsole|never|numb
000034a0: 65 72 7c 73 74 72 69 6e 67 7c 73 79 6d 62 6f 6c er|string|symbol
000034b0: 7c 75 6e 6b 6e 6f 77 6e 29 5c 62 2f 7d 29 2c 65 |unknown)\b/}),e
000034c0: 2e 6c 61 6e 67 75 61 67 65 73 2e 74 79 70 65 73 .languages.types
000034d0: 63 72 69 70 74 2e 6b 65 79 77 6f 72 64 2e 70 75 cript.keyword.pu
000034e0: 73 68 28 2f 5c 62 28 3f 3a 61 62 73 74 72 61 63 sh(/\b(?:abstrac
000034f0: 74 7c 64 65 63 6c 61 72 65 7c 69 73 7c 6b 65 79 t|declare|is|key
00003500: 6f 66 7c 72 65 61 64 6f 6e 6c 79 7c 72 65 71 75 of|readonly|requ
00003510: 69 72 65 29 5c 62 2f 2c 2f 5c 62 28 3f 3a 61 73 ire)\b/,/\b(?:as
00003520: 73 65 72 74 73 7c 69 6e 66 65 72 7c 69 6e 74 65 serts|infer|inte
00003530: 72 66 61 63 65 7c 6d 6f 64 75 6c 65 7c 6e 61 6d rface|module|nam
00003540: 65 73 70 61 63 65 7c 74 79 70 65 29 5c 62 28 3f espace|type)\b(?
00003550: 3d 5c 73 2a 28 3f 3a 5b 7b 5f 24 61 2d 7a 41 2d =\s*(?:[{_$a-zA-
00003560: 5a 5c 78 41 30 2d 5c 75 46 46 46 46 5d 7c 24 29 Z\xA0-\uFFFF]|$)
00003570: 29 2f 2c 2f 5c 62 74 79 70 65 5c 62 28 3f 3d 5c )/,/\btype\b(?=\
00003580: 73 2a 28 3f 3a 5b 5c 7b 2a 5d 7c 24 29 29 2f 29 s*(?:[\{*]|$))/)
00003590: 2c 64 65 6c 65 74 65 20 65 2e 6c 61 6e 67 75 61 ,delete e.langua
000035a0: 67 65 73 2e 74 79 70 65 73 63 72 69 70 74 2e 70 ges.typescript.p
000035b0: 61 72 61 6d 65 74 65 72 2c 64 65 6c 65 74 65 20 arameter,delete
000035c0: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 79 70 65 e.languages.type
000035d0: 73 63 72 69 70 74 5b 22 6c 69 74 65 72 61 6c 2d script["literal-
000035e0: 70 72 6f 70 65 72 74 79 22 5d 3b 76 61 72 20 74 property"];var t
000035f0: 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e 65 78 74 =e.languages.ext
00003600: 65 6e 64 28 22 74 79 70 65 73 63 72 69 70 74 22 end("typescript"
00003610: 2c 7b 7d 29 3b 64 65 6c 65 74 65 20 74 5b 22 63 ,{});delete t["c
00003620: 6c 61 73 73 2d 6e 61 6d 65 22 5d 2c 65 2e 6c 61 lass-name"],e.la
00003630: 6e 67 75 61 67 65 73 2e 74 79 70 65 73 63 72 69 nguages.typescri
00003640: 70 74 5b 22 63 6c 61 73 73 2d 6e 61 6d 65 22 5d pt["class-name"]
00003650: 2e 69 6e 73 69 64 65 3d 74 2c 65 2e 6c 61 6e 67 .inside=t,e.lang
00003660: 75 61 67 65 73 2e 69 6e 73 65 72 74 42 65 66 6f uages.insertBefo
00003670: 72 65 28 22 74 79 70 65 73 63 72 69 70 74 22 2c re("typescript",
00003680: 22 66 75 6e 63 74 69 6f 6e 22 2c 7b 64 65 63 6f "function",{deco
00003690: 72 61 74 6f 72 3a 7b 70 61 74 74 65 72 6e 3a 2f rator:{pattern:/
000036a0: 40 5b 24 5c 77 5c 78 41 30 2d 5c 75 46 46 46 46 @[$\w\xA0-\uFFFF
000036b0: 5d 2b 2f 2c 69 6e 73 69 64 65 3a 7b 61 74 3a 7b ]+/,inside:{at:{
000036c0: 70 61 74 74 65 72 6e 3a 2f 5e 40 2f 2c 61 6c 69 pattern:/^@/,ali
000036d0: 61 73 3a 22 6f 70 65 72 61 74 6f 72 22 7d 2c 66 as:"operator"},f
000036e0: 75 6e 63 74 69 6f 6e 3a 2f 5e 5b 5c 73 5c 53 5d unction:/^[\s\S]
000036f0: 2b 2f 7d 7d 2c 22 67 65 6e 65 72 69 63 2d 66 75 +/}},"generic-fu
00003700: 6e 63 74 69 6f 6e 22 3a 7b 70 61 74 74 65 72 6e nction":{pattern
00003710: 3a 2f 23 3f 28 3f 21 5c 73 29 5b 5f 24 61 2d 7a :/#?(?!\s)[_$a-z
00003720: 41 2d 5a 5c 78 41 30 2d 5c 75 46 46 46 46 5d 28 A-Z\xA0-\uFFFF](
00003730: 3f 3a 28 3f 21 5c 73 29 5b 24 5c 77 5c 78 41 30 ?:(?!\s)[$\w\xA0
00003740: 2d 5c 75 46 46 46 46 5d 29 2a 5c 73 2a 3c 28 3f -\uFFFF])*\s*<(?
00003750: 3a 5b 5e 3c 3e 5d 7c 3c 28 3f 3a 5b 5e 3c 3e 5d :[^<>]|<(?:[^<>]
00003760: 7c 3c 5b 5e 3c 3e 5d 2a 3e 29 2a 3e 29 2a 3e 28 |<[^<>]*>)*>)*>(
00003770: 3f 3d 5c 73 2a 5c 28 29 2f 2c 67 72 65 65 64 79 ?=\s*\()/,greedy
00003780: 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 66 75 6e 63 :!0,inside:{func
00003790: 74 69 6f 6e 3a 2f 5e 23 3f 28 3f 21 5c 73 29 5b tion:/^#?(?!\s)[
000037a0: 5f 24 61 2d 7a 41 2d 5a 5c 78 41 30 2d 5c 75 46 _$a-zA-Z\xA0-\uF
000037b0: 46 46 46 5d 28 3f 3a 28 3f 21 5c 73 29 5b 24 5c FFF](?:(?!\s)[$\
000037c0: 77 5c 78 41 30 2d 5c 75 46 46 46 46 5d 29 2a 2f w\xA0-\uFFFF])*/
000037d0: 2c 67 65 6e 65 72 69 63 3a 7b 70 61 74 74 65 72 ,generic:{patter
000037e0: 6e 3a 2f 3c 5b 5c 73 5c 53 5d 2b 2f 2c 61 6c 69 n:/<[\s\S]+/,ali
000037f0: 61 73 3a 22 63 6c 61 73 73 2d 6e 61 6d 65 22 2c as:"class-name",
00003800: 69 6e 73 69 64 65 3a 74 7d 7d 7d 7d 29 2c 65 2e inside:t}}}}),e.
00003810: 6c 61 6e 67 75 61 67 65 73 2e 74 73 3d 65 2e 6c languages.ts=e.l
00003820: 61 6e 67 75 61 67 65 73 2e 74 79 70 65 73 63 72 anguages.typescr
00003830: 69 70 74 7d 28 65 29 7d 65 2e 65 78 70 6f 72 74 ipt}(e)}e.export
00003840: 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 6d s=t,t.displayNam
00003850: 65 3d 22 74 79 70 65 73 63 72 69 70 74 22 2c 74 e="typescript",t
00003860: 2e 61 6c 69 61 73 65 73 3d 5b 22 74 73 22 5d 7d .aliases=["ts"]}
00003870: 2c 33 35 36 32 38 3a 66 75 6e 63 74 69 6f 6e 28 ,35628:function(
00003880: 65 29 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b e){"use strict";
00003890: 66 75 6e 63 74 69 6f 6e 20 74 28 65 29 7b 21 66 function t(e){!f
000038a0: 75 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 unction(e){var t
000038b0: 3d 2f 5c 62 28 3f 3a 41 43 54 7c 41 43 54 49 46 =/\b(?:ACT|ACTIF
000038c0: 53 55 42 7c 43 41 52 52 41 59 7c 43 41 53 45 7c SUB|CARRAY|CASE|
000038d0: 43 4c 45 41 52 47 49 46 7c 43 4f 41 7c 43 4f 41 CLEARGIF|COA|COA
000038e0: 5f 49 4e 54 7c 43 4f 4e 53 54 41 4e 54 53 7c 43 _INT|CONSTANTS|C
000038f0: 4f 4e 54 45 4e 54 7c 43 55 52 7c 45 44 49 54 50 ONTENT|CUR|EDITP
00003900: 41 4e 45 4c 7c 45 46 46 45 43 54 7c 45 58 54 7c ANEL|EFFECT|EXT|
00003910: 46 49 4c 45 7c 46 4c 55 49 44 54 45 4d 50 4c 41 FILE|FLUIDTEMPLA
00003920: 54 45 7c 46 4f 52 4d 7c 46 52 41 4d 45 7c 46 52 TE|FORM|FRAME|FR
00003930: 41 4d 45 53 45 54 7c 47 49 46 42 55 49 4c 44 45 AMESET|GIFBUILDE
00003940: 52 7c 47 4d 45 4e 55 7c 47 4d 45 4e 55 5f 46 4f R|GMENU|GMENU_FO
00003950: 4c 44 4f 55 54 7c 47 4d 45 4e 55 5f 4c 41 59 45 LDOUT|GMENU_LAYE
00003960: 52 53 7c 47 50 7c 48 4d 45 4e 55 7c 48 52 55 4c RS|GP|HMENU|HRUL
00003970: 45 52 7c 48 54 4d 4c 7c 49 45 4e 56 7c 49 46 53 ER|HTML|IENV|IFS
00003980: 55 42 7c 49 4d 41 47 45 7c 49 4d 47 4d 45 4e 55 UB|IMAGE|IMGMENU
00003990: 7c 49 4d 47 4d 45 4e 55 49 54 45 4d 7c 49 4d 47 |IMGMENUITEM|IMG
000039a0: 54 45 58 54 7c 49 4d 47 5f 52 45 53 4f 55 52 43 TEXT|IMG_RESOURC
000039b0: 45 7c 49 4e 43 4c 55 44 45 5f 54 59 50 4f 53 43 E|INCLUDE_TYPOSC
000039c0: 52 49 50 54 7c 4a 53 4d 45 4e 55 7c 4a 53 4d 45 RIPT|JSMENU|JSME
000039d0: 4e 55 49 54 45 4d 7c 4c 4c 4c 7c 4c 4f 41 44 5f NUITEM|LLL|LOAD_
000039e0: 52 45 47 49 53 54 45 52 7c 4e 4f 7c 50 41 47 45 REGISTER|NO|PAGE
000039f0: 7c 52 45 43 4f 52 44 53 7c 52 45 53 54 4f 52 45 |RECORDS|RESTORE
00003a00: 5f 52 45 47 49 53 54 45 52 7c 54 45 4d 50 4c 41 _REGISTER|TEMPLA
00003a10: 54 45 7c 54 45 58 54 7c 54 4d 45 4e 55 7c 54 4d TE|TEXT|TMENU|TM
00003a20: 45 4e 55 49 54 45 4d 7c 54 4d 45 4e 55 5f 4c 41 ENUITEM|TMENU_LA
00003a30: 59 45 52 53 7c 55 53 45 52 7c 55 53 45 52 5f 49 YERS|USER|USER_I
00003a40: 4e 54 7c 5f 47 49 46 42 55 49 4c 44 45 52 7c 67 NT|_GIFBUILDER|g
00003a50: 6c 6f 62 61 6c 7c 67 6c 6f 62 61 6c 53 74 72 69 lobal|globalStri
00003a60: 6e 67 7c 67 6c 6f 62 61 6c 56 61 72 29 5c 62 2f ng|globalVar)\b/
00003a70: 3b 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 79 70 ;e.languages.typ
00003a80: 6f 73 63 72 69 70 74 3d 7b 63 6f 6d 6d 65 6e 74 oscript={comment
00003a90: 3a 5b 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 7c 5b :[{pattern:/(^|[
00003aa0: 5e 5c 5c 5d 29 5c 2f 5c 2a 5b 5c 73 5c 53 5d 2a ^\\])\/\*[\s\S]*
00003ab0: 3f 28 3f 3a 5c 2a 5c 2f 7c 24 29 2f 2c 6c 6f 6f ?(?:\*\/|$)/,loo
00003ac0: 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 7b 70 61 74 kbehind:!0},{pat
00003ad0: 74 65 72 6e 3a 2f 28 5e 7c 5b 5e 5c 5c 3a 3d 20 tern:/(^|[^\\:=
00003ae0: 5c 74 5d 7c 28 3f 3a 5e 7c 5b 5e 3d 20 5c 74 5d \t]|(?:^|[^= \t]
00003af0: 29 5b 20 5c 74 5d 2b 29 5c 2f 5c 2f 2e 2a 2f 2c )[ \t]+)\/\/.*/,
00003b00: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 67 72 lookbehind:!0,gr
00003b10: 65 65 64 79 3a 21 30 7d 2c 7b 70 61 74 74 65 72 eedy:!0},{patter
00003b20: 6e 3a 2f 28 5e 7c 5b 5e 22 27 5d 29 23 2e 2a 2f n:/(^|[^"'])#.*/
00003b30: 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 67 ,lookbehind:!0,g
00003b40: 72 65 65 64 79 3a 21 30 7d 5d 2c 66 75 6e 63 74 reedy:!0}],funct
00003b50: 69 6f 6e 3a 5b 7b 70 61 74 74 65 72 6e 3a 2f 3c ion:[{pattern:/<
00003b60: 49 4e 43 4c 55 44 45 5f 54 59 50 4f 53 43 52 49 INCLUDE_TYPOSCRI
00003b70: 50 54 3a 5c 73 2a 73 6f 75 72 63 65 5c 73 2a 3d PT:\s*source\s*=
00003b80: 5c 73 2a 28 3f 3a 22 5b 5e 22 5c 72 5c 6e 5d 2a \s*(?:"[^"\r\n]*
00003b90: 22 7c 27 5b 5e 27 5c 72 5c 6e 5d 2a 27 29 5c 73 "|'[^'\r\n]*')\s
00003ba0: 2a 3e 2f 2c 69 6e 73 69 64 65 3a 7b 73 74 72 69 *>/,inside:{stri
00003bb0: 6e 67 3a 7b 70 61 74 74 65 72 6e 3a 2f 22 5b 5e ng:{pattern:/"[^
00003bc0: 22 5c 72 5c 6e 5d 2a 22 7c 27 5b 5e 27 5c 72 5c "\r\n]*"|'[^'\r\
00003bd0: 6e 5d 2a 27 2f 2c 69 6e 73 69 64 65 3a 7b 6b 65 n]*'/,inside:{ke
00003be0: 79 77 6f 72 64 3a 74 7d 7d 2c 6b 65 79 77 6f 72 yword:t}},keywor
00003bf0: 64 3a 7b 70 61 74 74 65 72 6e 3a 2f 49 4e 43 4c d:{pattern:/INCL
00003c00: 55 44 45 5f 54 59 50 4f 53 43 52 49 50 54 2f 7d UDE_TYPOSCRIPT/}
00003c10: 7d 7d 2c 7b 70 61 74 74 65 72 6e 3a 2f 40 69 6d }},{pattern:/@im
00003c20: 70 6f 72 74 5c 73 2a 28 3f 3a 22 5b 5e 22 5c 72 port\s*(?:"[^"\r
00003c30: 5c 6e 5d 2a 22 7c 27 5b 5e 27 5c 72 5c 6e 5d 2a \n]*"|'[^'\r\n]*
00003c40: 27 29 2f 2c 69 6e 73 69 64 65 3a 7b 73 74 72 69 ')/,inside:{stri
00003c50: 6e 67 3a 2f 22 5b 5e 22 5c 72 5c 6e 5d 2a 22 7c ng:/"[^"\r\n]*"|
00003c60: 27 5b 5e 27 5c 72 5c 6e 5d 2a 27 2f 7d 7d 5d 2c '[^'\r\n]*'/}}],
00003c70: 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 72 6e 3a string:{pattern:
00003c80: 2f 5e 28 5b 5e 3d 5d 2a 3d 5b 3c 20 5d 3f 29 28 /^([^=]*=[< ]?)(
00003c90: 3f 3a 28 3f 21 5c 5d 5c 6e 29 2e 29 2a 2f 2c 6c ?:(?!\]\n).)*/,l
00003ca0: 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 6e 73 ookbehind:!0,ins
00003cb0: 69 64 65 3a 7b 66 75 6e 63 74 69 6f 6e 3a 2f 5c ide:{function:/\
00003cc0: 7b 5c 24 2e 2a 5c 7d 2f 2c 6b 65 79 77 6f 72 64 {\$.*\}/,keyword
00003cd0: 3a 74 2c 6e 75 6d 62 65 72 3a 2f 5e 5c 64 2b 24 :t,number:/^\d+$
00003ce0: 2f 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b /,punctuation:/[
00003cf0: 2c 7c 3a 5d 2f 7d 7d 2c 6b 65 79 77 6f 72 64 3a ,|:]/}},keyword:
00003d00: 74 2c 6e 75 6d 62 65 72 3a 7b 70 61 74 74 65 72 t,number:{patter
00003d10: 6e 3a 2f 5c 62 5c 64 2b 5c 73 2a 5b 2e 7b 3d 5d n:/\b\d+\s*[.{=]
00003d20: 2f 2c 69 6e 73 69 64 65 3a 7b 6f 70 65 72 61 74 /,inside:{operat
00003d30: 6f 72 3a 2f 5b 2e 7b 3d 5d 2f 7d 7d 2c 74 61 67 or:/[.{=]/}},tag
00003d40: 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 2e 3f 5b 2d :{pattern:/\.?[-
00003d50: 5c 77 5c 5c 5d 2b 5c 2e 3f 2f 2c 69 6e 73 69 64 \w\\]+\.?/,insid
00003d60: 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f e:{punctuation:/
00003d70: 5c 2e 2f 7d 7d 2c 70 75 6e 63 74 75 61 74 69 6f \./}},punctuatio
00003d80: 6e 3a 2f 5b 7b 7d 5b 5c 5d 3b 28 29 2c 2e 3a 7c n:/[{}[\];(),.:|
00003d90: 5d 2f 2c 6f 70 65 72 61 74 6f 72 3a 2f 5b 3c 3e ]/,operator:/[<>
00003da0: 5d 3d 3f 7c 5b 21 3d 5d 3d 3f 3d 3f 7c 2d 2d 3f ]=?|[!=]=?=?|--?
00003db0: 7c 5c 2b 5c 2b 3f 7c 26 26 3f 7c 5c 7c 5c 7c 3f |\+\+?|&&?|\|\|?
00003dc0: 7c 5b 3f 2a 2f 7e 5e 25 5d 2f 7d 2c 65 2e 6c 61 |[?*/~^%]/},e.la
00003dd0: 6e 67 75 61 67 65 73 2e 74 73 63 6f 6e 66 69 67 nguages.tsconfig
00003de0: 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e 74 79 70 =e.languages.typ
00003df0: 6f 73 63 72 69 70 74 7d 28 65 29 7d 65 2e 65 78 oscript}(e)}e.ex
00003e00: 70 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 ports=t,t.displa
00003e10: 79 4e 61 6d 65 3d 22 74 79 70 6f 73 63 72 69 70 yName="typoscrip
00003e20: 74 22 2c 74 2e 61 6c 69 61 73 65 73 3d 5b 22 74 t",t.aliases=["t
00003e30: 73 63 6f 6e 66 69 67 22 5d 7d 2c 35 30 37 35 34 sconfig"]},50754
00003e40: 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 :function(e){"us
00003e50: 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 74 69 e strict";functi
00003e60: 6f 6e 20 74 28 65 29 7b 65 2e 6c 61 6e 67 75 61 on t(e){e.langua
00003e70: 67 65 73 2e 75 6e 72 65 61 6c 73 63 72 69 70 74 ges.unrealscript
00003e80: 3d 7b 63 6f 6d 6d 65 6e 74 3a 2f 5c 2f 5c 2f 2e ={comment:/\/\/.
00003e90: 2a 7c 5c 2f 5c 2a 5b 5c 73 5c 53 5d 2a 3f 5c 2a *|\/\*[\s\S]*?\*
00003ea0: 5c 2f 2f 2c 73 74 72 69 6e 67 3a 7b 70 61 74 74 \//,string:{patt
00003eb0: 65 72 6e 3a 2f 28 5b 22 27 5d 29 28 3f 3a 5c 5c ern:/(["'])(?:\\
00003ec0: 28 3f 3a 5c 72 5c 6e 7c 5b 5c 73 5c 53 5d 29 7c (?:\r\n|[\s\S])|
00003ed0: 28 3f 21 5c 31 29 5b 5e 5c 5c 5c 72 5c 6e 5d 29 (?!\1)[^\\\r\n])
00003ee0: 2a 5c 31 2f 2c 67 72 65 65 64 79 3a 21 30 7d 2c *\1/,greedy:!0},
00003ef0: 63 61 74 65 67 6f 72 79 3a 7b 70 61 74 74 65 72 category:{patter
00003f00: 6e 3a 2f 28 5c 62 28 3f 3a 28 3f 3a 61 75 74 6f n:/(\b(?:(?:auto
00003f10: 65 78 70 61 6e 64 7c 68 69 64 65 7c 73 68 6f 77 expand|hide|show
00003f20: 29 63 61 74 65 67 6f 72 69 65 73 7c 76 61 72 29 )categories|var)
00003f30: 5c 73 2a 5c 28 29 5b 5e 28 29 5d 2b 28 3f 3d 5c \s*\()[^()]+(?=\
00003f40: 29 29 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 ))/,lookbehind:!
00003f50: 30 2c 67 72 65 65 64 79 3a 21 30 2c 61 6c 69 61 0,greedy:!0,alia
00003f60: 73 3a 22 70 72 6f 70 65 72 74 79 22 7d 2c 6d 65 s:"property"},me
00003f70: 74 61 64 61 74 61 3a 7b 70 61 74 74 65 72 6e 3a tadata:{pattern:
00003f80: 2f 28 5c 77 5c 73 2a 29 3c 5c 73 2a 5c 77 2b 5c /(\w\s*)<\s*\w+\
00003f90: 73 2a 3d 5b 5e 3c 3e 7c 3d 5c 72 5c 6e 5d 2b 28 s*=[^<>|=\r\n]+(
00003fa0: 3f 3a 5c 7c 5c 73 2a 5c 77 2b 5c 73 2a 3d 5b 5e ?:\|\s*\w+\s*=[^
00003fb0: 3c 3e 7c 3d 5c 72 5c 6e 5d 2b 29 2a 3e 2f 2c 6c <>|=\r\n]+)*>/,l
00003fc0: 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 67 72 65 ookbehind:!0,gre
00003fd0: 65 64 79 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 70 edy:!0,inside:{p
00003fe0: 72 6f 70 65 72 74 79 3a 2f 5c 62 5c 77 2b 28 3f roperty:/\b\w+(?
00003ff0: 3d 5c 73 2a 3d 29 2f 2c 6f 70 65 72 61 74 6f 72 =\s*=)/,operator
00004000: 3a 2f 3d 2f 2c 70 75 6e 63 74 75 61 74 69 6f 6e :/=/,punctuation
00004010: 3a 2f 5b 3c 3e 7c 5d 2f 7d 7d 2c 6d 61 63 72 6f :/[<>|]/}},macro
00004020: 3a 7b 70 61 74 74 65 72 6e 3a 2f 60 5c 77 2b 2f :{pattern:/`\w+/
00004030: 2c 61 6c 69 61 73 3a 22 70 72 6f 70 65 72 74 79 ,alias:"property
00004040: 22 7d 2c 22 63 6c 61 73 73 2d 6e 61 6d 65 22 3a "},"class-name":
00004050: 7b 70 61 74 74 65 72 6e 3a 2f 28 5c 62 28 3f 3a {pattern:/(\b(?:
00004060: 63 6c 61 73 73 7c 65 6e 75 6d 7c 65 78 74 65 6e class|enum|exten
00004070: 64 73 7c 69 6e 74 65 72 66 61 63 65 7c 73 74 61 ds|interface|sta
00004080: 74 65 28 3f 3a 5c 28 5c 29 29 3f 7c 73 74 72 75 te(?:\(\))?|stru
00004090: 63 74 7c 77 69 74 68 69 6e 29 5c 73 2b 29 5c 77 ct|within)\s+)\w
000040a0: 2b 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 +/,lookbehind:!0
000040b0: 7d 2c 6b 65 79 77 6f 72 64 3a 2f 5c 62 28 3f 3a },keyword:/\b(?:
000040c0: 61 62 73 74 72 61 63 74 7c 61 63 74 6f 72 7c 61 abstract|actor|a
000040d0: 72 72 61 79 7c 61 75 74 6f 7c 61 75 74 6f 65 78 rray|auto|autoex
000040e0: 70 61 6e 64 63 61 74 65 67 6f 72 69 65 73 7c 62 pandcategories|b
000040f0: 6f 6f 6c 7c 62 72 65 61 6b 7c 62 79 74 65 7c 63 ool|break|byte|c
00004100: 61 73 65 7c 63 6c 61 73 73 7c 63 6c 61 73 73 67 ase|class|classg
00004110: 72 6f 75 70 7c 63 6c 69 65 6e 74 7c 63 6f 65 72 roup|client|coer
00004120: 63 65 7c 63 6f 6c 6c 61 70 73 65 63 61 74 65 67 ce|collapsecateg
00004130: 6f 72 69 65 73 7c 63 6f 6e 66 69 67 7c 63 6f 6e ories|config|con
00004140: 73 74 7c 63 6f 6e 74 69 6e 75 65 7c 64 65 66 61 st|continue|defa
00004150: 75 6c 74 7c 64 65 66 61 75 6c 74 70 72 6f 70 65 ult|defaultprope
00004160: 72 74 69 65 73 7c 64 65 6c 65 67 61 74 65 7c 64 rties|delegate|d
00004170: 65 70 65 6e 64 73 6f 6e 7c 64 65 70 72 65 63 61 ependson|depreca
00004180: 74 65 64 7c 64 6f 7c 64 6f 6e 74 63 6f 6c 6c 61 ted|do|dontcolla
00004190: 70 73 65 63 61 74 65 67 6f 72 69 65 73 7c 65 64 psecategories|ed
000041a0: 69 74 63 6f 6e 73 74 7c 65 64 69 74 69 6e 6c 69 itconst|editinli
000041b0: 6e 65 6e 65 77 7c 65 6c 73 65 7c 65 6e 75 6d 7c nenew|else|enum|
000041c0: 65 76 65 6e 74 7c 65 78 65 63 7c 65 78 70 6f 72 event|exec|expor
000041d0: 74 7c 65 78 74 65 6e 64 73 7c 66 69 6e 61 6c 7c t|extends|final|
000041e0: 66 6c 6f 61 74 7c 66 6f 72 7c 66 6f 72 63 65 73 float|for|forces
000041f0: 63 72 69 70 74 6f 72 64 65 72 7c 66 6f 72 65 61 criptorder|forea
00004200: 63 68 7c 66 75 6e 63 74 69 6f 6e 7c 67 6f 74 6f ch|function|goto
00004210: 7c 67 75 69 64 7c 68 69 64 65 63 61 74 65 67 6f |guid|hidecatego
00004220: 72 69 65 73 7c 68 69 64 65 64 72 6f 70 64 6f 77 ries|hidedropdow
00004230: 6e 7c 69 66 7c 69 67 6e 6f 72 65 73 7c 69 6d 70 n|if|ignores|imp
00004240: 6c 65 6d 65 6e 74 73 7c 69 6e 68 65 72 69 74 73 lements|inherits
00004250: 7c 69 6e 70 75 74 7c 69 6e 74 7c 69 6e 74 65 72 |input|int|inter
00004260: 66 61 63 65 7c 69 74 65 72 61 74 6f 72 7c 6c 61 face|iterator|la
00004270: 74 65 6e 74 7c 6c 6f 63 61 6c 7c 6d 61 74 65 72 tent|local|mater
00004280: 69 61 6c 7c 6e 61 6d 65 7c 6e 61 74 69 76 65 7c ial|name|native|
00004290: 6e 61 74 69 76 65 72 65 70 6c 69 63 61 74 69 6f nativereplicatio
000042a0: 6e 7c 6e 6f 65 78 70 6f 72 74 7c 6e 6f 6e 74 72 n|noexport|nontr
000042b0: 61 6e 73 69 65 6e 74 7c 6e 6f 74 65 64 69 74 69 ansient|notediti
000042c0: 6e 6c 69 6e 65 6e 65 77 7c 6e 6f 74 70 6c 61 63 nlinenew|notplac
000042d0: 65 61 62 6c 65 7c 6f 70 65 72 61 74 6f 72 7c 6f eable|operator|o
000042e0: 70 74 69 6f 6e 61 6c 7c 6f 75 74 7c 70 61 77 6e ptional|out|pawn
000042f0: 7c 70 65 72 6f 62 6a 65 63 74 63 6f 6e 66 69 67 |perobjectconfig
00004300: 7c 70 65 72 6f 62 6a 65 63 74 6c 6f 63 61 6c 69 |perobjectlocali
00004310: 7a 65 64 7c 70 6c 61 63 65 61 62 6c 65 7c 70 6f zed|placeable|po
00004320: 73 74 6f 70 65 72 61 74 6f 72 7c 70 72 65 6f 70 stoperator|preop
00004330: 65 72 61 74 6f 72 7c 70 72 69 76 61 74 65 7c 70 erator|private|p
00004340: 72 6f 74 65 63 74 65 64 7c 72 65 6c 69 61 62 6c rotected|reliabl
00004350: 65 7c 72 65 70 6c 69 63 61 74 69 6f 6e 7c 72 65 e|replication|re
00004360: 74 75 72 6e 7c 73 65 72 76 65 72 7c 73 68 6f 77 turn|server|show
00004370: 63 61 74 65 67 6f 72 69 65 73 7c 73 69 6d 75 6c categories|simul
00004380: 61 74 65 64 7c 73 69 6e 67 75 6c 61 72 7c 73 74 ated|singular|st
00004390: 61 74 65 7c 73 74 61 74 69 63 7c 73 74 72 69 6e ate|static|strin
000043a0: 67 7c 73 74 72 75 63 74 7c 73 74 72 75 63 74 64 g|struct|structd
000043b0: 65 66 61 75 6c 74 7c 73 74 72 75 63 74 64 65 66 efault|structdef
000043c0: 61 75 6c 74 70 72 6f 70 65 72 74 69 65 73 7c 73 aultproperties|s
000043d0: 77 69 74 63 68 7c 74 65 78 74 75 72 65 7c 74 72 witch|texture|tr
000043e0: 61 6e 73 69 65 6e 74 7c 74 72 61 76 65 6c 7c 75 ansient|travel|u
000043f0: 6e 72 65 6c 69 61 62 6c 65 7c 75 6e 74 69 6c 7c nreliable|until|
00004400: 76 61 72 7c 76 65 63 74 6f 72 7c 77 68 69 6c 65 var|vector|while
00004410: 7c 77 69 74 68 69 6e 29 5c 62 2f 2c 66 75 6e 63 |within)\b/,func
00004420: 74 69 6f 6e 3a 2f 5c 62 5b 61 2d 7a 5f 5d 5c 77 tion:/\b[a-z_]\w
00004430: 2a 28 3f 3d 5c 73 2a 5c 28 29 2f 69 2c 62 6f 6f *(?=\s*\()/i,boo
00004440: 6c 65 61 6e 3a 2f 5c 62 28 3f 3a 66 61 6c 73 65 lean:/\b(?:false
00004450: 7c 74 72 75 65 29 5c 62 2f 2c 6e 75 6d 62 65 72 |true)\b/,number
00004460: 3a 2f 5c 62 30 78 5b 5c 64 61 2d 66 5d 2b 5c 62 :/\b0x[\da-f]+\b
00004470: 7c 28 3f 3a 5c 62 5c 64 2b 28 3f 3a 5c 2e 5c 64 |(?:\b\d+(?:\.\d
00004480: 2a 29 3f 7c 5c 42 5c 2e 5c 64 2b 29 28 3f 3a 65 *)?|\B\.\d+)(?:e
00004490: 5b 2b 2d 5d 3f 5c 64 2b 29 3f 2f 69 2c 6f 70 65 [+-]?\d+)?/i,ope
000044a0: 72 61 74 6f 72 3a 2f 3e 3e 7c 3c 3c 7c 2d 2d 7c rator:/>>|<<|--|
000044b0: 5c 2b 5c 2b 7c 5c 2a 5c 2a 7c 5b 2d 2b 2a 2f 7e \+\+|\*\*|[-+*/~
000044c0: 21 3d 3c 3e 24 40 5d 3d 3f 7c 26 26 3f 7c 5c 7c !=<>$@]=?|&&?|\|
000044d0: 5c 7c 3f 7c 5c 5e 5c 5e 3f 7c 5b 3f 3a 25 5d 7c \|?|\^\^?|[?:%]|
000044e0: 5c 62 28 3f 3a 43 6c 6f 63 6b 77 69 73 65 46 72 \b(?:ClockwiseFr
000044f0: 6f 6d 7c 43 72 6f 73 73 7c 44 6f 74 29 5c 62 2f om|Cross|Dot)\b/
00004500: 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 28 ,punctuation:/[(
00004510: 29 5b 5c 5d 7b 7d 3b 2c 2e 5d 2f 7d 2c 65 2e 6c )[\]{};,.]/},e.l
00004520: 61 6e 67 75 61 67 65 73 2e 75 63 3d 65 2e 6c 61 anguages.uc=e.la
00004530: 6e 67 75 61 67 65 73 2e 75 73 63 72 69 70 74 3d nguages.uscript=
00004540: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 75 6e 72 65 e.languages.unre
00004550: 61 6c 73 63 72 69 70 74 7d 65 2e 65 78 70 6f 72 alscript}e.expor
00004560: 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 ts=t,t.displayNa
00004570: 6d 65 3d 22 75 6e 72 65 61 6c 73 63 72 69 70 74 me="unrealscript
00004580: 22 2c 74 2e 61 6c 69 61 73 65 73 3d 5b 22 75 63 ",t.aliases=["uc
00004590: 22 2c 22 75 73 63 72 69 70 74 22 5d 7d 2c 34 38 ","uscript"]},48
000045a0: 31 34 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 14:function(e){"
000045b0: 75 73 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 use strict";func
000045c0: 74 69 6f 6e 20 74 28 65 29 7b 65 2e 6c 61 6e 67 tion t(e){e.lang
000045d0: 75 61 67 65 73 2e 75 6f 72 61 7a 6f 72 3d 7b 22 uages.uorazor={"
000045e0: 63 6f 6d 6d 65 6e 74 2d 68 61 73 68 22 3a 7b 70 comment-hash":{p
000045f0: 61 74 74 65 72 6e 3a 2f 23 2e 2a 2f 2c 61 6c 69 attern:/#.*/,ali
00004600: 61 73 3a 22 63 6f 6d 6d 65 6e 74 22 2c 67 72 65 as:"comment",gre
00004610: 65 64 79 3a 21 30 7d 2c 22 63 6f 6d 6d 65 6e 74 edy:!0},"comment
00004620: 2d 73 6c 61 73 68 22 3a 7b 70 61 74 74 65 72 6e -slash":{pattern
00004630: 3a 2f 5c 2f 5c 2f 2e 2a 2f 2c 61 6c 69 61 73 3a :/\/\/.*/,alias:
00004640: 22 63 6f 6d 6d 65 6e 74 22 2c 67 72 65 65 64 79 "comment",greedy
00004650: 3a 21 30 7d 2c 73 74 72 69 6e 67 3a 7b 70 61 74 :!0},string:{pat
00004660: 74 65 72 6e 3a 2f 28 22 7c 27 29 28 3f 3a 5c 5c tern:/("|')(?:\\
00004670: 2e 7c 28 3f 21 5c 31 29 5b 5e 5c 5c 5c 72 5c 6e .|(?!\1)[^\\\r\n
00004680: 5d 29 2a 5c 31 2f 2c 69 6e 73 69 64 65 3a 7b 70 ])*\1/,inside:{p
00004690: 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5e 5b 27 22 unctuation:/^['"
000046a0: 5d 7c 5b 27 22 5d 24 2f 7d 2c 67 72 65 65 64 79 ]|['"]$/},greedy
000046b0: 3a 21 30 7d 2c 22 73 6f 75 72 63 65 2d 6c 61 79 :!0},"source-lay
000046c0: 65 72 73 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c ers":{pattern:/\
000046d0: 62 28 3f 3a 61 72 6d 73 7c 62 61 63 6b 70 61 63 b(?:arms|backpac
000046e0: 6b 7c 62 6c 75 65 7c 62 72 61 63 65 6c 65 74 7c k|blue|bracelet|
000046f0: 63 61 6e 63 65 6c 7c 63 6c 65 61 72 7c 63 6c 6f cancel|clear|clo
00004700: 61 6b 7c 63 72 69 6d 69 6e 61 6c 7c 65 61 72 72 ak|criminal|earr
00004710: 69 6e 67 73 7c 65 6e 65 6d 79 7c 66 61 63 69 61 ings|enemy|facia
00004720: 6c 68 61 69 72 7c 66 72 69 65 6e 64 7c 66 72 69 lhair|friend|fri
00004730: 65 6e 64 6c 79 7c 67 6c 6f 76 65 73 7c 67 72 61 endly|gloves|gra
00004740: 79 7c 67 72 65 79 7c 67 72 6f 75 6e 64 7c 68 61 y|grey|ground|ha
00004750: 69 72 7c 68 65 61 64 7c 69 6e 6e 65 72 6c 65 67 ir|head|innerleg
00004760: 73 7c 69 6e 6e 65 72 74 6f 72 73 6f 7c 69 6e 6e s|innertorso|inn
00004770: 6f 63 65 6e 74 7c 6c 65 66 74 68 61 6e 64 7c 6d ocent|lefthand|m
00004780: 69 64 64 6c 65 74 6f 72 73 6f 7c 6d 75 72 64 65 iddletorso|murde
00004790: 72 65 72 7c 6e 65 63 6b 7c 6e 6f 6e 66 72 69 65 rer|neck|nonfrie
000047a0: 6e 64 6c 79 7c 6f 6e 65 68 61 6e 64 65 64 73 65 ndly|onehandedse
000047b0: 63 6f 6e 64 61 72 79 7c 6f 75 74 65 72 6c 65 67 condary|outerleg
000047c0: 73 7c 6f 75 74 65 72 74 6f 72 73 6f 7c 70 61 6e s|outertorso|pan
000047d0: 74 73 7c 72 65 64 7c 72 69 67 68 74 68 61 6e 64 ts|red|righthand
000047e0: 7c 72 69 6e 67 7c 73 65 6c 66 7c 73 68 69 72 74 |ring|self|shirt
000047f0: 7c 73 68 6f 65 73 7c 74 61 6c 69 73 6d 61 6e 7c |shoes|talisman|
00004800: 77 61 69 73 74 29 5c 62 2f 69 2c 61 6c 69 61 73 waist)\b/i,alias
00004810: 3a 22 66 75 6e 63 74 69 6f 6e 22 7d 2c 22 73 6f :"function"},"so
00004820: 75 72 63 65 2d 63 6f 6d 6d 61 6e 64 73 22 3a 7b urce-commands":{
00004830: 70 61 74 74 65 72 6e 3a 2f 5c 62 28 3f 3a 61 6c pattern:/\b(?:al
00004840: 6c 69 61 6e 63 65 7c 61 74 74 61 63 6b 7c 63 61 liance|attack|ca
00004850: 73 74 7c 63 6c 65 61 72 61 6c 6c 7c 63 6c 65 61 st|clearall|clea
00004860: 72 69 67 6e 6f 72 65 7c 63 6c 65 61 72 6a 6f 75 rignore|clearjou
00004870: 72 6e 61 6c 7c 63 6c 65 61 72 6c 69 73 74 7c 63 rnal|clearlist|c
00004880: 6c 65 61 72 73 79 73 6d 73 67 7c 63 72 65 61 74 learsysmsg|creat
00004890: 65 6c 69 73 74 7c 63 72 65 61 74 65 74 69 6d 65 elist|createtime
000048a0: 72 7c 64 63 6c 69 63 6b 7c 64 63 6c 69 63 6b 74 r|dclick|dclickt
000048b0: 79 70 65 7c 64 63 6c 69 63 6b 76 61 72 7c 64 72 ype|dclickvar|dr
000048c0: 65 73 73 7c 64 72 65 73 73 63 6f 6e 66 69 67 7c ess|dressconfig|
000048d0: 64 72 6f 70 7c 64 72 6f 70 72 65 6c 6c 6f 63 7c drop|droprelloc|
000048e0: 65 6d 6f 74 65 7c 67 65 74 6c 61 62 65 6c 7c 67 emote|getlabel|g
000048f0: 75 69 6c 64 7c 67 75 6d 70 63 6c 6f 73 65 7c 67 uild|gumpclose|g
00004900: 75 6d 70 72 65 73 70 6f 6e 73 65 7c 68 6f 74 6b umpresponse|hotk
00004910: 65 79 7c 69 67 6e 6f 72 65 7c 6c 61 73 74 74 61 ey|ignore|lastta
00004920: 72 67 65 74 7c 6c 69 66 74 7c 6c 69 66 74 74 79 rget|lift|liftty
00004930: 70 65 7c 6d 65 6e 75 7c 6d 65 6e 75 72 65 73 70 pe|menu|menuresp
00004940: 6f 6e 73 65 7c 6d 73 67 7c 6f 72 67 7c 6f 72 67 onse|msg|org|org
00004950: 61 6e 69 7a 65 7c 6f 72 67 61 6e 69 7a 65 72 7c anize|organizer|
00004960: 6f 76 65 72 68 65 61 64 7c 70 61 75 73 65 7c 70 overhead|pause|p
00004970: 6f 70 6c 69 73 74 7c 70 6f 74 69 6f 6e 7c 70 72 oplist|potion|pr
00004980: 6f 6d 70 74 72 65 73 70 6f 6e 73 65 7c 70 75 73 omptresponse|pus
00004990: 68 6c 69 73 74 7c 72 65 6d 6f 76 65 6c 69 73 74 hlist|removelist
000049a0: 7c 72 65 6d 6f 76 65 74 69 6d 65 72 7c 72 65 6e |removetimer|ren
000049b0: 61 6d 65 7c 72 65 73 74 6f 63 6b 7c 73 61 79 7c ame|restock|say|
000049c0: 73 63 61 76 7c 73 63 61 76 65 6e 67 65 72 7c 73 scav|scavenger|s
000049d0: 63 72 69 70 74 7c 73 65 74 61 62 69 6c 69 74 79 cript|setability
000049e0: 7c 73 65 74 6c 61 73 74 74 61 72 67 65 74 7c 73 |setlasttarget|s
000049f0: 65 74 73 6b 69 6c 6c 7c 73 65 74 74 69 6d 65 72 etskill|settimer
00004a00: 7c 73 65 74 76 61 72 7c 73 79 73 6d 73 67 7c 74 |setvar|sysmsg|t
00004a10: 61 72 67 65 74 7c 74 61 72 67 65 74 6c 6f 63 7c arget|targetloc|
00004a20: 74 61 72 67 65 74 72 65 6c 6c 6f 63 7c 74 61 72 targetrelloc|tar
00004a30: 67 65 74 74 79 70 65 7c 75 6e 64 72 65 73 73 7c gettype|undress|
00004a40: 75 6e 69 67 6e 6f 72 65 7c 75 6e 73 65 74 76 61 unignore|unsetva
00004a50: 72 7c 75 73 65 6f 62 6a 65 63 74 7c 75 73 65 6f r|useobject|useo
00004a60: 6e 63 65 7c 75 73 65 73 6b 69 6c 6c 7c 75 73 65 nce|useskill|use
00004a70: 74 79 70 65 7c 76 69 72 74 75 65 7c 77 61 69 74 type|virtue|wait
00004a80: 7c 77 61 69 74 66 6f 72 67 75 6d 70 7c 77 61 69 |waitforgump|wai
00004a90: 74 66 6f 72 6d 65 6e 75 7c 77 61 69 74 66 6f 72 tformenu|waitfor
00004aa0: 70 72 6f 6d 70 74 7c 77 61 69 74 66 6f 72 73 74 prompt|waitforst
00004ab0: 61 74 7c 77 61 69 74 66 6f 72 73 79 73 6d 73 67 at|waitforsysmsg
00004ac0: 7c 77 61 69 74 66 6f 72 74 61 72 67 65 74 7c 77 |waitfortarget|w
00004ad0: 61 6c 6b 7c 77 66 73 79 73 6d 73 67 7c 77 66 74 alk|wfsysmsg|wft
00004ae0: 7c 77 68 69 73 70 65 72 7c 79 65 6c 6c 29 5c 62 |whisper|yell)\b
00004af0: 2f 2c 61 6c 69 61 73 3a 22 66 75 6e 63 74 69 6f /,alias:"functio
00004b00: 6e 22 7d 2c 22 74 61 67 2d 6e 61 6d 65 22 3a 7b n"},"tag-name":{
00004b10: 70 61 74 74 65 72 6e 3a 2f 28 5e 5c 7b 25 2d 3f pattern:/(^\{%-?
00004b20: 5c 73 2a 29 5c 77 2b 2f 2c 6c 6f 6f 6b 62 65 68 \s*)\w+/,lookbeh
00004b30: 69 6e 64 3a 21 30 2c 61 6c 69 61 73 3a 22 6b 65 ind:!0,alias:"ke
00004b40: 79 77 6f 72 64 22 7d 2c 64 65 6c 69 6d 69 74 65 yword"},delimite
00004b50: 72 3a 7b 70 61 74 74 65 72 6e 3a 2f 5e 5c 7b 5b r:{pattern:/^\{[
00004b60: 7b 25 5d 2d 3f 7c 2d 3f 5b 25 7d 5d 5c 7d 24 2f {%]-?|-?[%}]\}$/
00004b70: 2c 61 6c 69 61 73 3a 22 70 75 6e 63 74 75 61 74 ,alias:"punctuat
00004b80: 69 6f 6e 22 7d 2c 66 75 6e 63 74 69 6f 6e 3a 2f ion"},function:/
00004b90: 5c 62 28 3f 3a 61 74 6c 69 73 74 7c 63 6c 6f 73 \b(?:atlist|clos
00004ba0: 65 7c 63 6c 6f 73 65 73 74 7c 63 6f 75 6e 74 7c e|closest|count|
00004bb0: 63 6f 75 6e 74 65 72 7c 63 6f 75 6e 74 74 79 70 counter|counttyp
00004bc0: 65 7c 64 65 61 64 7c 64 65 78 7c 64 69 66 66 68 e|dead|dex|diffh
00004bd0: 69 74 73 7c 64 69 66 66 6d 61 6e 61 7c 64 69 66 its|diffmana|dif
00004be0: 66 73 74 61 6d 7c 64 69 66 66 77 65 69 67 68 74 fstam|diffweight
00004bf0: 7c 66 69 6e 64 7c 66 69 6e 64 62 75 66 66 7c 66 |find|findbuff|f
00004c00: 69 6e 64 64 65 62 75 66 66 7c 66 69 6e 64 6c 61 inddebuff|findla
00004c10: 79 65 72 7c 66 69 6e 64 74 79 70 65 7c 66 69 6e yer|findtype|fin
00004c20: 64 74 79 70 65 6c 69 73 74 7c 66 6f 6c 6c 6f 77 dtypelist|follow
00004c30: 65 72 73 7c 67 75 6d 70 65 78 69 73 74 73 7c 68 ers|gumpexists|h
00004c40: 69 64 64 65 6e 7c 68 69 74 73 7c 68 70 7c 68 75 idden|hits|hp|hu
00004c50: 65 7c 68 75 6d 61 6e 7c 68 75 6d 61 6e 6f 69 64 e|human|humanoid
00004c60: 7c 69 6e 67 75 6d 70 7c 69 6e 6c 69 73 74 7c 69 |ingump|inlist|i
00004c70: 6e 73 79 73 6d 65 73 73 61 67 65 7c 69 6e 73 79 nsysmessage|insy
00004c80: 73 6d 73 67 7c 69 6e 74 7c 69 6e 76 75 6c 7c 6c smsg|int|invul|l
00004c90: 68 61 6e 64 65 6d 70 74 79 7c 6c 69 73 74 7c 6c handempty|list|l
00004ca0: 69 73 74 65 78 69 73 74 73 7c 6d 61 6e 61 7c 6d istexists|mana|m
00004cb0: 61 78 68 69 74 73 7c 6d 61 78 68 70 7c 6d 61 78 axhits|maxhp|max
00004cc0: 6d 61 6e 61 7c 6d 61 78 73 74 61 6d 7c 6d 61 78 mana|maxstam|max
00004cd0: 77 65 69 67 68 74 7c 6d 6f 6e 73 74 65 72 7c 6d weight|monster|m
00004ce0: 6f 75 6e 74 65 64 7c 6e 61 6d 65 7c 6e 65 78 74 ounted|name|next
00004cf0: 7c 6e 6f 74 6f 7c 70 61 72 61 6c 79 7a 65 64 7c |noto|paralyzed|
00004d00: 70 6f 69 73 6f 6e 65 64 7c 70 6f 73 69 74 69 6f poisoned|positio
00004d10: 6e 7c 70 72 65 76 7c 70 72 65 76 69 6f 75 73 7c n|prev|previous|
00004d20: 71 75 65 75 65 64 7c 72 61 6e 64 7c 72 61 6e 64 queued|rand|rand
00004d30: 6f 6d 7c 72 68 61 6e 64 65 6d 70 74 79 7c 73 6b om|rhandempty|sk
00004d40: 69 6c 6c 7c 73 74 61 6d 7c 73 74 72 7c 74 61 72 ill|stam|str|tar
00004d50: 67 65 74 65 78 69 73 74 73 7c 74 69 6d 65 72 7c getexists|timer|
00004d60: 74 69 6d 65 72 65 78 69 73 74 73 7c 76 61 72 65 timerexists|vare
00004d70: 78 69 73 74 7c 77 61 72 6d 6f 64 65 7c 77 65 69 xist|warmode|wei
00004d80: 67 68 74 29 5c 62 2f 2c 6b 65 79 77 6f 72 64 3a ght)\b/,keyword:
00004d90: 2f 5c 62 28 3f 3a 61 6e 64 7c 61 73 7c 62 72 65 /\b(?:and|as|bre
00004da0: 61 6b 7c 63 6f 6e 74 69 6e 75 65 7c 65 6c 73 65 ak|continue|else
00004db0: 7c 65 6c 73 65 69 66 7c 65 6e 64 66 6f 72 7c 65 |elseif|endfor|e
00004dc0: 6e 64 69 66 7c 65 6e 64 77 68 69 6c 65 7c 66 6f ndif|endwhile|fo
00004dd0: 72 7c 69 66 7c 6c 6f 6f 70 7c 6e 6f 74 7c 6f 72 r|if|loop|not|or
00004de0: 7c 72 65 70 6c 61 79 7c 73 74 6f 70 7c 77 68 69 |replay|stop|whi
00004df0: 6c 65 29 5c 62 2f 2c 62 6f 6f 6c 65 61 6e 3a 2f le)\b/,boolean:/
00004e00: 5c 62 28 3f 3a 66 61 6c 73 65 7c 6e 75 6c 6c 7c \b(?:false|null|
00004e10: 74 72 75 65 29 5c 62 2f 2c 6e 75 6d 62 65 72 3a true)\b/,number:
00004e20: 2f 5c 62 30 78 5b 5c 64 41 2d 46 61 2d 66 5d 2b /\b0x[\dA-Fa-f]+
00004e30: 7c 28 3f 3a 5c 62 5c 64 2b 28 3f 3a 5c 2e 5c 64 |(?:\b\d+(?:\.\d
00004e40: 2a 29 3f 7c 5c 42 5c 2e 5c 64 2b 29 28 3f 3a 5b *)?|\B\.\d+)(?:[
00004e50: 45 65 5d 5b 2d 2b 5d 3f 5c 64 2b 29 3f 2f 2c 6f Ee][-+]?\d+)?/,o
00004e60: 70 65 72 61 74 6f 72 3a 5b 7b 70 61 74 74 65 72 perator:[{patter
00004e70: 6e 3a 2f 28 5c 73 29 28 3f 3a 61 6e 64 7c 62 2d n:/(\s)(?:and|b-
00004e80: 61 6e 64 7c 62 2d 6f 72 7c 62 2d 78 6f 72 7c 65 and|b-or|b-xor|e
00004e90: 6e 64 73 20 77 69 74 68 7c 69 6e 7c 69 73 7c 6d nds with|in|is|m
00004ea0: 61 74 63 68 65 73 7c 6e 6f 74 7c 6f 72 7c 73 61 atches|not|or|sa
00004eb0: 6d 65 20 61 73 7c 73 74 61 72 74 73 20 77 69 74 me as|starts wit
00004ec0: 68 29 28 3f 3d 5c 73 29 2f 2c 6c 6f 6f 6b 62 65 h)(?=\s)/,lookbe
00004ed0: 68 69 6e 64 3a 21 30 7d 2c 2f 5b 3d 3c 3e 5d 3d hind:!0},/[=<>]=
00004ee0: 3f 7c 21 3d 7c 5c 2a 5c 2a 3f 7c 5c 2f 5c 2f 3f ?|!=|\*\*?|\/\/?
00004ef0: 7c 5c 3f 3a 3f 7c 5b 2d 2b 7e 25 7c 5d 2f 5d 2c |\?:?|[-+~%|]/],
00004f00: 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 28 29 punctuation:/[()
00004f10: 5c 5b 5c 5d 7b 7d 3a 2e 2c 5d 2f 7d 7d 65 2e 65 \[\]{}:.,]/}}e.e
00004f20: 78 70 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c xports=t,t.displ
00004f30: 61 79 4e 61 6d 65 3d 22 75 6f 72 61 7a 6f 72 22 ayName="uorazor"
00004f40: 2c 74 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d 2c 35 ,t.aliases=[]},5
00004f50: 35 36 30 34 3a 66 75 6e 63 74 69 6f 6e 28 65 29 5604:function(e)
00004f60: 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b 66 75 {"use strict";fu
00004f70: 6e 63 74 69 6f 6e 20 74 28 65 29 7b 65 2e 6c 61 nction t(e){e.la
00004f80: 6e 67 75 61 67 65 73 2e 75 72 69 3d 7b 73 63 68 nguages.uri={sch
00004f90: 65 6d 65 3a 7b 70 61 74 74 65 72 6e 3a 2f 5e 5b eme:{pattern:/^[
00004fa0: 61 2d 7a 5d 5b 61 2d 7a 30 2d 39 2b 2e 2d 5d 2a a-z][a-z0-9+.-]*
00004fb0: 3a 2f 69 6d 2c 67 72 65 65 64 79 3a 21 30 2c 69 :/im,greedy:!0,i
00004fc0: 6e 73 69 64 65 3a 7b 22 73 63 68 65 6d 65 2d 64 nside:{"scheme-d
00004fd0: 65 6c 69 6d 69 74 65 72 22 3a 2f 3a 24 2f 7d 7d elimiter":/:$/}}
00004fe0: 2c 66 72 61 67 6d 65 6e 74 3a 7b 70 61 74 74 65 ,fragment:{patte
00004ff0: 72 6e 3a 2f 23 5b 5c 77 5c 2d 2e 7e 21 24 26 27 rn:/#[\w\-.~!$&'
00005000: 28 29 2a 2b 2c 3b 3d 25 3a 40 2f 3f 5d 2a 2f 2c ()*+,;=%:@/?]*/,
00005010: 69 6e 73 69 64 65 3a 7b 22 66 72 61 67 6d 65 6e inside:{"fragmen
00005020: 74 2d 64 65 6c 69 6d 69 74 65 72 22 3a 2f 5e 23 t-delimiter":/^#
00005030: 2f 7d 7d 2c 71 75 65 72 79 3a 7b 70 61 74 74 65 /}},query:{patte
00005040: 72 6e 3a 2f 5c 3f 5b 5c 77 5c 2d 2e 7e 21 24 26 rn:/\?[\w\-.~!$&
00005050: 27 28 29 2a 2b 2c 3b 3d 25 3a 40 2f 3f 5d 2a 2f '()*+,;=%:@/?]*/
00005060: 2c 69 6e 73 69 64 65 3a 7b 22 71 75 65 72 79 2d ,inside:{"query-
00005070: 64 65 6c 69 6d 69 74 65 72 22 3a 7b 70 61 74 74 delimiter":{patt
00005080: 65 72 6e 3a 2f 5e 5c 3f 2f 2c 67 72 65 65 64 79 ern:/^\?/,greedy
00005090: 3a 21 30 7d 2c 22 70 61 69 72 2d 64 65 6c 69 6d :!0},"pair-delim
000050a0: 69 74 65 72 22 3a 2f 5b 26 3b 5d 2f 2c 70 61 69 iter":/[&;]/,pai
000050b0: 72 3a 7b 70 61 74 74 65 72 6e 3a 2f 5e 5b 5e 3d r:{pattern:/^[^=
000050c0: 5d 5b 5c 73 5c 53 5d 2a 2f 2c 69 6e 73 69 64 65 ][\s\S]*/,inside
000050d0: 3a 7b 6b 65 79 3a 2f 5e 5b 5e 3d 5d 2b 2f 2c 76 :{key:/^[^=]+/,v
000050e0: 61 6c 75 65 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 alue:{pattern:/(
000050f0: 5e 3d 29 5b 5c 73 5c 53 5d 2b 2f 2c 6c 6f 6f 6b ^=)[\s\S]+/,look
00005100: 62 65 68 69 6e 64 3a 21 30 7d 7d 7d 7d 7d 2c 61 behind:!0}}}}},a
00005110: 75 74 68 6f 72 69 74 79 3a 7b 70 61 74 74 65 72 uthority:{patter
00005120: 6e 3a 52 65 67 45 78 70 28 2f 5e 5c 2f 5c 2f 2f n:RegExp(/^\/\//
00005130: 2e 73 6f 75 72 63 65 2b 2f 28 3f 3a 5b 5c 77 5c .source+/(?:[\w\
00005140: 2d 2e 7e 21 24 26 27 28 29 2a 2b 2c 3b 3d 25 3a -.~!$&'()*+,;=%:
00005150: 5d 2a 40 29 3f 2f 2e 73 6f 75 72 63 65 2b 22 28 ]*@)?/.source+"(
00005160: 3f 3a 22 2b 2f 5c 5b 28 3f 3a 5b 30 2d 39 61 2d ?:"+/\[(?:[0-9a-
00005170: 66 41 2d 46 3a 2e 5d 7b 32 2c 34 38 7d 7c 76 5b fA-F:.]{2,48}|v[
00005180: 30 2d 39 61 2d 66 41 2d 46 5d 2b 5c 2e 5b 5c 77 0-9a-fA-F]+\.[\w
00005190: 5c 2d 2e 7e 21 24 26 27 28 29 2a 2b 2c 3b 3d 5d \-.~!$&'()*+,;=]
000051a0: 2b 29 5c 5d 2f 2e 73 6f 75 72 63 65 2b 22 7c 22 +)\]/.source+"|"
000051b0: 2b 2f 5b 5c 77 5c 2d 2e 7e 21 24 26 27 28 29 2a +/[\w\-.~!$&'()*
000051c0: 2b 2c 3b 3d 25 5d 2a 2f 2e 73 6f 75 72 63 65 2b +,;=%]*/.source+
000051d0: 22 29 22 2b 2f 28 3f 3a 3a 5c 64 2a 29 3f 2f 2e ")"+/(?::\d*)?/.
000051e0: 73 6f 75 72 63 65 2c 22 6d 22 29 2c 69 6e 73 69 source,"m"),insi
000051f0: 64 65 3a 7b 22 61 75 74 68 6f 72 69 74 79 2d 64 de:{"authority-d
00005200: 65 6c 69 6d 69 74 65 72 22 3a 2f 5e 5c 2f 5c 2f elimiter":/^\/\/
00005210: 2f 2c 22 75 73 65 72 2d 69 6e 66 6f 2d 73 65 67 /,"user-info-seg
00005220: 6d 65 6e 74 22 3a 7b 70 61 74 74 65 72 6e 3a 2f ment":{pattern:/
00005230: 5e 5b 5c 77 5c 2d 2e 7e 21 24 26 27 28 29 2a 2b ^[\w\-.~!$&'()*+
00005240: 2c 3b 3d 25 3a 5d 2a 40 2f 2c 69 6e 73 69 64 65 ,;=%:]*@/,inside
00005250: 3a 7b 22 75 73 65 72 2d 69 6e 66 6f 2d 64 65 6c :{"user-info-del
00005260: 69 6d 69 74 65 72 22 3a 2f 40 24 2f 2c 22 75 73 imiter":/@$/,"us
00005270: 65 72 2d 69 6e 66 6f 22 3a 2f 5e 5b 5c 77 5c 2d er-info":/^[\w\-
00005280: 2e 7e 21 24 26 27 28 29 2a 2b 2c 3b 3d 25 3a 5d .~!$&'()*+,;=%:]
00005290: 2b 2f 7d 7d 2c 22 70 6f 72 74 2d 73 65 67 6d 65 +/}},"port-segme
000052a0: 6e 74 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 3a 5c nt":{pattern:/:\
000052b0: 64 2a 24 2f 2c 69 6e 73 69 64 65 3a 7b 22 70 6f d*$/,inside:{"po
000052c0: 72 74 2d 64 65 6c 69 6d 69 74 65 72 22 3a 2f 5e rt-delimiter":/^
000052d0: 3a 2f 2c 70 6f 72 74 3a 2f 5e 5c 64 2b 2f 7d 7d :/,port:/^\d+/}}
000052e0: 2c 68 6f 73 74 3a 7b 70 61 74 74 65 72 6e 3a 2f ,host:{pattern:/
000052f0: 5b 5c 73 5c 53 5d 2b 2f 2c 69 6e 73 69 64 65 3a [\s\S]+/,inside:
00005300: 7b 22 69 70 2d 6c 69 74 65 72 61 6c 22 3a 7b 70 {"ip-literal":{p
00005310: 61 74 74 65 72 6e 3a 2f 5e 5c 5b 5b 5c 73 5c 53 attern:/^\[[\s\S
00005320: 5d 2b 5c 5d 24 2f 2c 69 6e 73 69 64 65 3a 7b 22 ]+\]$/,inside:{"
00005330: 69 70 2d 6c 69 74 65 72 61 6c 2d 64 65 6c 69 6d ip-literal-delim
00005340: 69 74 65 72 22 3a 2f 5e 5c 5b 7c 5c 5d 24 2f 2c iter":/^\[|\]$/,
00005350: 22 69 70 76 2d 66 75 74 75 72 65 22 3a 2f 5e 76 "ipv-future":/^v
00005360: 5b 5c 73 5c 53 5d 2b 2f 2c 22 69 70 76 36 2d 61 [\s\S]+/,"ipv6-a
00005370: 64 64 72 65 73 73 22 3a 2f 5e 5b 5c 73 5c 53 5d ddress":/^[\s\S]
00005380: 2b 2f 7d 7d 2c 22 69 70 76 34 2d 61 64 64 72 65 +/}},"ipv4-addre
00005390: 73 73 22 3a 2f 5e 28 3f 3a 28 3f 3a 5b 30 33 2d ss":/^(?:(?:[03-
000053a0: 39 5d 5c 64 3f 7c 5b 31 32 5d 5c 64 7b 30 2c 32 9]\d?|[12]\d{0,2
000053b0: 7d 29 5c 2e 29 7b 33 7d 28 3f 3a 5b 30 33 2d 39 })\.){3}(?:[03-9
000053c0: 5d 5c 64 3f 7c 5b 31 32 5d 5c 64 7b 30 2c 32 7d ]\d?|[12]\d{0,2}
000053d0: 29 24 2f 7d 7d 7d 7d 2c 70 61 74 68 3a 7b 70 61 )$/}}}},path:{pa
000053e0: 74 74 65 72 6e 3a 2f 5e 5b 5c 77 5c 2d 2e 7e 21 ttern:/^[\w\-.~!
000053f0: 24 26 27 28 29 2a 2b 2c 3b 3d 25 3a 40 2f 5d 2b $&'()*+,;=%:@/]+
00005400: 2f 6d 2c 69 6e 73 69 64 65 3a 7b 22 70 61 74 68 /m,inside:{"path
00005410: 2d 73 65 70 61 72 61 74 6f 72 22 3a 2f 5c 2f 2f -separator":/\//
00005420: 7d 7d 7d 2c 65 2e 6c 61 6e 67 75 61 67 65 73 2e }}},e.languages.
00005430: 75 72 6c 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e url=e.languages.
00005440: 75 72 69 7d 65 2e 65 78 70 6f 72 74 73 3d 74 2c uri}e.exports=t,
00005450: 74 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 75 t.displayName="u
00005460: 72 69 22 2c 74 2e 61 6c 69 61 73 65 73 3d 5b 22 ri",t.aliases=["
00005470: 75 72 6c 22 5d 7d 2c 34 33 36 32 34 3a 66 75 6e url"]},43624:fun
00005480: 63 74 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 74 ction(e){"use st
00005490: 72 69 63 74 22 3b 66 75 6e 63 74 69 6f 6e 20 74 rict";function t
000054a0: 28 65 29 7b 21 66 75 6e 63 74 69 6f 6e 28 65 29 (e){!function(e)
000054b0: 7b 76 61 72 20 74 3d 7b 70 61 74 74 65 72 6e 3a {var t={pattern:
000054c0: 2f 5b 5c 73 5c 53 5d 2b 2f 2c 69 6e 73 69 64 65 /[\s\S]+/,inside
000054d0: 3a 6e 75 6c 6c 7d 3b 65 2e 6c 61 6e 67 75 61 67 :null};e.languag
000054e0: 65 73 2e 76 3d 65 2e 6c 61 6e 67 75 61 67 65 73 es.v=e.languages
000054f0: 2e 65 78 74 65 6e 64 28 22 63 6c 69 6b 65 22 2c .extend("clike",
00005500: 7b 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 72 6e {string:{pattern
00005510: 3a 2f 72 3f 28 5b 22 27 5d 29 28 3f 3a 5c 5c 28 :/r?(["'])(?:\\(
00005520: 3f 3a 5c 72 5c 6e 7c 5b 5c 73 5c 53 5d 29 7c 28 ?:\r\n|[\s\S])|(
00005530: 3f 21 5c 31 29 5b 5e 5c 5c 5c 72 5c 6e 5d 29 2a ?!\1)[^\\\r\n])*
00005540: 5c 31 2f 2c 61 6c 69 61 73 3a 22 71 75 6f 74 65 \1/,alias:"quote
00005550: 64 2d 73 74 72 69 6e 67 22 2c 67 72 65 65 64 79 d-string",greedy
00005560: 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 69 6e 74 65 :!0,inside:{inte
00005570: 72 70 6f 6c 61 74 69 6f 6e 3a 7b 70 61 74 74 65 rpolation:{patte
00005580: 72 6e 3a 2f 28 28 3f 3a 5e 7c 5b 5e 5c 5c 5d 29 rn:/((?:^|[^\\])
00005590: 28 3f 3a 5c 5c 7b 32 7d 29 2a 29 5c 24 28 3f 3a (?:\\{2})*)\$(?:
000055a0: 5c 7b 5b 5e 7b 7d 5d 2a 5c 7d 7c 5c 77 2b 28 3f \{[^{}]*\}|\w+(?
000055b0: 3a 5c 2e 5c 77 2b 28 3f 3a 5c 28 5b 5e 5c 28 5c :\.\w+(?:\([^\(\
000055c0: 29 5d 2a 5c 29 29 3f 7c 5c 5b 5b 5e 5c 5b 5c 5d )]*\))?|\[[^\[\]
000055d0: 5d 2b 5c 5d 29 2a 29 2f 2c 6c 6f 6f 6b 62 65 68 ]+\])*)/,lookbeh
000055e0: 69 6e 64 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 22 ind:!0,inside:{"
000055f0: 69 6e 74 65 72 70 6f 6c 61 74 69 6f 6e 2d 76 61 interpolation-va
00005600: 72 69 61 62 6c 65 22 3a 7b 70 61 74 74 65 72 6e riable":{pattern
00005610: 3a 2f 5e 5c 24 5c 77 5b 5c 73 5c 53 5d 2a 24 2f :/^\$\w[\s\S]*$/
00005620: 2c 61 6c 69 61 73 3a 22 76 61 72 69 61 62 6c 65 ,alias:"variable
00005630: 22 7d 2c 22 69 6e 74 65 72 70 6f 6c 61 74 69 6f "},"interpolatio
00005640: 6e 2d 70 75 6e 63 74 75 61 74 69 6f 6e 22 3a 7b n-punctuation":{
00005650: 70 61 74 74 65 72 6e 3a 2f 5e 5c 24 5c 7b 7c 5c pattern:/^\$\{|\
00005660: 7d 24 2f 2c 61 6c 69 61 73 3a 22 70 75 6e 63 74 }$/,alias:"punct
00005670: 75 61 74 69 6f 6e 22 7d 2c 22 69 6e 74 65 72 70 uation"},"interp
00005680: 6f 6c 61 74 69 6f 6e 2d 65 78 70 72 65 73 73 69 olation-expressi
00005690: 6f 6e 22 3a 74 7d 7d 7d 7d 2c 22 63 6c 61 73 73 on":t}}}},"class
000056a0: 2d 6e 61 6d 65 22 3a 7b 70 61 74 74 65 72 6e 3a -name":{pattern:
000056b0: 2f 28 5c 62 28 3f 3a 65 6e 75 6d 7c 69 6e 74 65 /(\b(?:enum|inte
000056c0: 72 66 61 63 65 7c 73 74 72 75 63 74 7c 74 79 70 rface|struct|typ
000056d0: 65 29 5c 73 2b 29 28 3f 3a 43 5c 2e 29 3f 5c 77 e)\s+)(?:C\.)?\w
000056e0: 2b 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 +/,lookbehind:!0
000056f0: 7d 2c 6b 65 79 77 6f 72 64 3a 2f 28 3f 3a 5c 62 },keyword:/(?:\b
00005700: 28 3f 3a 5f 5f 67 6c 6f 62 61 6c 7c 61 73 7c 61 (?:__global|as|a
00005710: 73 6d 7c 61 73 73 65 72 74 7c 61 74 6f 6d 69 63 sm|assert|atomic
00005720: 7c 62 72 65 61 6b 7c 63 68 61 6e 7c 63 6f 6e 73 |break|chan|cons
00005730: 74 7c 63 6f 6e 74 69 6e 75 65 7c 64 65 66 65 72 t|continue|defer
00005740: 7c 65 6c 73 65 7c 65 6d 62 65 64 7c 65 6e 75 6d |else|embed|enum
00005750: 7c 66 6e 7c 66 6f 72 7c 67 6f 28 3f 3a 74 6f 29 |fn|for|go(?:to)
00005760: 3f 7c 69 66 7c 69 6d 70 6f 72 74 7c 69 6e 7c 69 ?|if|import|in|i
00005770: 6e 74 65 72 66 61 63 65 7c 69 73 7c 6c 6f 63 6b nterface|is|lock
00005780: 7c 6d 61 74 63 68 7c 6d 6f 64 75 6c 65 7c 6d 75 |match|module|mu
00005790: 74 7c 6e 6f 6e 65 7c 6f 72 7c 70 75 62 7c 72 65 t|none|or|pub|re
000057a0: 74 75 72 6e 7c 72 6c 6f 63 6b 7c 73 65 6c 65 63 turn|rlock|selec
000057b0: 74 7c 73 68 61 72 65 64 7c 73 69 7a 65 6f 66 7c t|shared|sizeof|
000057c0: 73 74 61 74 69 63 7c 73 74 72 75 63 74 7c 74 79 static|struct|ty
000057d0: 70 65 28 3f 3a 6f 66 29 3f 7c 75 6e 69 6f 6e 7c pe(?:of)?|union|
000057e0: 75 6e 73 61 66 65 29 7c 5c 24 28 3f 3a 65 6c 73 unsafe)|\$(?:els
000057f0: 65 7c 66 6f 72 7c 69 66 29 7c 23 28 3f 3a 66 6c e|for|if)|#(?:fl
00005800: 61 67 7c 69 6e 63 6c 75 64 65 29 29 5c 62 2f 2c ag|include))\b/,
00005810: 6e 75 6d 62 65 72 3a 2f 5c 62 28 3f 3a 30 78 5b number:/\b(?:0x[
00005820: 61 2d 66 5c 64 5d 2b 28 3f 3a 5f 5b 61 2d 66 5c a-f\d]+(?:_[a-f\
00005830: 64 5d 2b 29 2a 7c 30 62 5b 30 31 5d 2b 28 3f 3a d]+)*|0b[01]+(?:
00005840: 5f 5b 30 31 5d 2b 29 2a 7c 30 6f 5b 30 2d 37 5d _[01]+)*|0o[0-7]
00005850: 2b 28 3f 3a 5f 5b 30 2d 37 5d 2b 29 2a 7c 5c 64 +(?:_[0-7]+)*|\d
00005860: 2b 28 3f 3a 5f 5c 64 2b 29 2a 28 3f 3a 5c 2e 5c +(?:_\d+)*(?:\.\
00005870: 64 2b 28 3f 3a 5f 5c 64 2b 29 2a 29 3f 29 5c 62 d+(?:_\d+)*)?)\b
00005880: 2f 69 2c 6f 70 65 72 61 74 6f 72 3a 2f 7e 7c 5c /i,operator:/~|\
00005890: 3f 7c 5b 2a 5c 2f 25 5e 21 3d 5d 3d 3f 7c 5c 2b ?|[*\/%^!=]=?|\+
000058a0: 5b 3d 2b 5d 3f 7c 2d 5b 3d 2d 5d 3f 7c 5c 7c 5b [=+]?|-[=-]?|\|[
000058b0: 3d 7c 5d 3f 7c 26 28 3f 3a 3d 7c 26 7c 5c 5e 3d =|]?|&(?:=|&|\^=
000058c0: 3f 29 3f 7c 3e 28 3f 3a 3e 3d 3f 7c 3d 29 3f 7c ?)?|>(?:>=?|=)?|
000058d0: 3c 28 3f 3a 3c 3d 3f 7c 3d 7c 2d 29 3f 7c 3a 3d <(?:<=?|=|-)?|:=
000058e0: 7c 5c 2e 5c 2e 5c 2e 3f 2f 2c 62 75 69 6c 74 69 |\.\.\.?/,builti
000058f0: 6e 3a 2f 5c 62 28 3f 3a 61 6e 79 28 3f 3a 5f 66 n:/\b(?:any(?:_f
00005900: 6c 6f 61 74 7c 5f 69 6e 74 29 3f 7c 62 6f 6f 6c loat|_int)?|bool
00005910: 7c 62 79 74 65 28 3f 3a 70 74 72 29 3f 7c 63 68 |byte(?:ptr)?|ch
00005920: 61 72 70 74 72 7c 66 28 3f 3a 33 32 7c 36 34 29 arptr|f(?:32|64)
00005930: 7c 69 28 3f 3a 38 7c 31 36 7c 36 34 7c 31 32 38 |i(?:8|16|64|128
00005940: 7c 6e 74 29 7c 72 75 6e 65 7c 73 69 7a 65 5f 74 |nt)|rune|size_t
00005950: 7c 73 74 72 69 6e 67 7c 75 28 3f 3a 31 36 7c 33 |string|u(?:16|3
00005960: 32 7c 36 34 7c 31 32 38 29 7c 76 6f 69 64 70 74 2|64|128)|voidpt
00005970: 72 29 5c 62 2f 7d 29 2c 74 2e 69 6e 73 69 64 65 r)\b/}),t.inside
00005980: 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e 76 2c 65 =e.languages.v,e
00005990: 2e 6c 61 6e 67 75 61 67 65 73 2e 69 6e 73 65 72 .languages.inser
000059a0: 74 42 65 66 6f 72 65 28 22 76 22 2c 22 73 74 72 tBefore("v","str
000059b0: 69 6e 67 22 2c 7b 63 68 61 72 3a 7b 70 61 74 74 ing",{char:{patt
000059c0: 65 72 6e 3a 2f 60 28 3f 3a 5c 5c 60 7c 5c 5c 3f ern:/`(?:\\`|\\?
000059d0: 5b 5e 60 5d 7b 31 2c 32 7d 29 60 2f 2c 61 6c 69 [^`]{1,2})`/,ali
000059e0: 61 73 3a 22 72 75 6e 65 22 7d 7d 29 2c 65 2e 6c as:"rune"}}),e.l
000059f0: 61 6e 67 75 61 67 65 73 2e 69 6e 73 65 72 74 42 anguages.insertB
00005a00: 65 66 6f 72 65 28 22 76 22 2c 22 6f 70 65 72 61 efore("v","opera
00005a10: 74 6f 72 22 2c 7b 61 74 74 72 69 62 75 74 65 3a tor",{attribute:
00005a20: 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 5b 5c 74 20 {pattern:/(^[\t
00005a30: 5d 2a 29 5c 5b 28 3f 3a 64 65 70 72 65 63 61 74 ]*)\[(?:deprecat
00005a40: 65 64 7c 64 69 72 65 63 74 5f 61 72 72 61 79 5f ed|direct_array_
00005a50: 61 63 63 65 73 73 7c 66 6c 61 67 7c 69 6e 6c 69 access|flag|inli
00005a60: 6e 65 7c 6c 69 76 65 7c 72 65 66 5f 6f 6e 6c 79 ne|live|ref_only
00005a70: 7c 74 79 70 65 64 65 66 7c 75 6e 73 61 66 65 5f |typedef|unsafe_
00005a80: 66 6e 7c 77 69 6e 64 6f 77 73 5f 73 74 64 63 61 fn|windows_stdca
00005a90: 6c 6c 29 5c 5d 2f 6d 2c 6c 6f 6f 6b 62 65 68 69 ll)\]/m,lookbehi
00005aa0: 6e 64 3a 21 30 2c 61 6c 69 61 73 3a 22 61 6e 6e nd:!0,alias:"ann
00005ab0: 6f 74 61 74 69 6f 6e 22 2c 69 6e 73 69 64 65 3a otation",inside:
00005ac0: 7b 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 5c {punctuation:/[\
00005ad0: 5b 5c 5d 5d 2f 2c 6b 65 79 77 6f 72 64 3a 2f 5c [\]]/,keyword:/\
00005ae0: 77 2b 2f 7d 7d 2c 67 65 6e 65 72 69 63 3a 7b 70 w+/}},generic:{p
00005af0: 61 74 74 65 72 6e 3a 2f 3c 5c 77 2b 3e 28 3f 3d attern:/<\w+>(?=
00005b00: 5c 73 2a 5b 5c 29 5c 7b 5d 29 2f 2c 69 6e 73 69 \s*[\)\{])/,insi
00005b10: 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e 3a de:{punctuation:
00005b20: 2f 5b 3c 3e 5d 2f 2c 22 63 6c 61 73 73 2d 6e 61 /[<>]/,"class-na
00005b30: 6d 65 22 3a 2f 5c 77 2b 2f 7d 7d 7d 29 2c 65 2e me":/\w+/}}}),e.
00005b40: 6c 61 6e 67 75 61 67 65 73 2e 69 6e 73 65 72 74 languages.insert
00005b50: 42 65 66 6f 72 65 28 22 76 22 2c 22 66 75 6e 63 Before("v","func
00005b60: 74 69 6f 6e 22 2c 7b 22 67 65 6e 65 72 69 63 2d tion",{"generic-
00005b70: 66 75 6e 63 74 69 6f 6e 22 3a 7b 70 61 74 74 65 function":{patte
00005b80: 72 6e 3a 2f 5c 62 5c 77 2b 5c 73 2a 3c 5c 77 2b rn:/\b\w+\s*<\w+
00005b90: 3e 28 3f 3d 5c 28 29 2f 2c 69 6e 73 69 64 65 3a >(?=\()/,inside:
00005ba0: 7b 66 75 6e 63 74 69 6f 6e 3a 2f 5e 5c 77 2b 2f {function:/^\w+/
00005bb0: 2c 67 65 6e 65 72 69 63 3a 7b 70 61 74 74 65 72 ,generic:{patter
00005bc0: 6e 3a 2f 3c 5c 77 2b 3e 2f 2c 69 6e 73 69 64 65 n:/<\w+>/,inside
00005bd0: 3a 65 2e 6c 61 6e 67 75 61 67 65 73 2e 76 2e 67 :e.languages.v.g
00005be0: 65 6e 65 72 69 63 2e 69 6e 73 69 64 65 7d 7d 7d eneric.inside}}}
00005bf0: 7d 29 7d 28 65 29 7d 65 2e 65 78 70 6f 72 74 73 })}(e)}e.exports
00005c00: 3d 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 6d 65 =t,t.displayName
00005c10: 3d 22 76 22 2c 74 2e 61 6c 69 61 73 65 73 3d 5b ="v",t.aliases=[
00005c20: 5d 7d 2c 31 31 31 36 31 3a 66 75 6e 63 74 69 6f ]},11161:functio
00005c30: 6e 28 65 29 7b 22 75 73 65 20 73 74 72 69 63 74 n(e){"use strict
00005c40: 22 3b 66 75 6e 63 74 69 6f 6e 20 74 28 65 29 7b ";function t(e){
00005c50: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 76 61 6c 61 e.languages.vala
00005c60: 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e 65 78 74 =e.languages.ext
00005c70: 65 6e 64 28 22 63 6c 69 6b 65 22 2c 7b 22 63 6c end("clike",{"cl
00005c80: 61 73 73 2d 6e 61 6d 65 22 3a 5b 7b 70 61 74 74 ass-name":[{patt
00005c90: 65 72 6e 3a 2f 5c 62 5b 41 2d 5a 5d 5c 77 2a 28 ern:/\b[A-Z]\w*(
00005ca0: 3f 3a 5c 2e 5c 77 2b 29 2a 5c 62 28 3f 3d 28 3f ?:\.\w+)*\b(?=(?
00005cb0: 3a 5c 3f 5c 73 2b 7c 5c 2a 3f 5c 73 2b 5c 2a 3f :\?\s+|\*?\s+\*?
00005cc0: 29 5c 77 29 2f 2c 69 6e 73 69 64 65 3a 7b 70 75 )\w)/,inside:{pu
00005cd0: 6e 63 74 75 61 74 69 6f 6e 3a 2f 5c 2e 2f 7d 7d nctuation:/\./}}
00005ce0: 2c 7b 70 61 74 74 65 72 6e 3a 2f 28 5c 5b 29 5b ,{pattern:/(\[)[
00005cf0: 41 2d 5a 5d 5c 77 2a 28 3f 3a 5c 2e 5c 77 2b 29 A-Z]\w*(?:\.\w+)
00005d00: 2a 5c 62 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a *\b/,lookbehind:
00005d10: 21 30 2c 69 6e 73 69 64 65 3a 7b 70 75 6e 63 74 !0,inside:{punct
00005d20: 75 61 74 69 6f 6e 3a 2f 5c 2e 2f 7d 7d 2c 7b 70 uation:/\./}},{p
00005d30: 61 74 74 65 72 6e 3a 2f 28 5c 62 28 3f 3a 63 6c attern:/(\b(?:cl
00005d40: 61 73 73 7c 69 6e 74 65 72 66 61 63 65 29 5c 73 ass|interface)\s
00005d50: 2b 5b 41 2d 5a 5d 5c 77 2a 28 3f 3a 5c 2e 5c 77 +[A-Z]\w*(?:\.\w
00005d60: 2b 29 2a 5c 73 2a 3a 5c 73 2a 29 5b 41 2d 5a 5d +)*\s*:\s*)[A-Z]
00005d70: 5c 77 2a 28 3f 3a 5c 2e 5c 77 2b 29 2a 5c 62 2f \w*(?:\.\w+)*\b/
00005d80: 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 ,lookbehind:!0,i
00005d90: 6e 73 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 nside:{punctuati
00005da0: 6f 6e 3a 2f 5c 2e 2f 7d 7d 2c 7b 70 61 74 74 65 on:/\./}},{patte
00005db0: 72 6e 3a 2f 28 28 3f 3a 5c 62 28 3f 3a 63 6c 61 rn:/((?:\b(?:cla
00005dc0: 73 73 7c 65 6e 75 6d 7c 69 6e 74 65 72 66 61 63 ss|enum|interfac
00005dd0: 65 7c 6e 65 77 7c 73 74 72 75 63 74 29 5c 73 2b e|new|struct)\s+
00005de0: 29 7c 28 3f 3a 63 61 74 63 68 5c 73 2b 5c 28 29 )|(?:catch\s+\()
00005df0: 29 5b 41 2d 5a 5d 5c 77 2a 28 3f 3a 5c 2e 5c 77 )[A-Z]\w*(?:\.\w
00005e00: 2b 29 2a 5c 62 2f 2c 6c 6f 6f 6b 62 65 68 69 6e +)*\b/,lookbehin
00005e10: 64 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 70 75 6e d:!0,inside:{pun
00005e20: 63 74 75 61 74 69 6f 6e 3a 2f 5c 2e 2f 7d 7d 5d ctuation:/\./}}]
00005e30: 2c 6b 65 79 77 6f 72 64 3a 2f 5c 62 28 3f 3a 61 ,keyword:/\b(?:a
00005e40: 62 73 74 72 61 63 74 7c 61 73 7c 61 73 73 65 72 bstract|as|asser
00005e50: 74 7c 61 73 79 6e 63 7c 62 61 73 65 7c 62 6f 6f t|async|base|boo
00005e60: 6c 7c 62 72 65 61 6b 7c 63 61 73 65 7c 63 61 74 l|break|case|cat
00005e70: 63 68 7c 63 68 61 72 7c 63 6c 61 73 73 7c 63 6f ch|char|class|co
00005e80: 6e 73 74 7c 63 6f 6e 73 74 72 75 63 74 7c 63 6f nst|construct|co
00005e90: 6e 74 69 6e 75 65 7c 64 65 66 61 75 6c 74 7c 64 ntinue|default|d
00005ea0: 65 6c 65 67 61 74 65 7c 64 65 6c 65 74 65 7c 64 elegate|delete|d
00005eb0: 6f 7c 64 6f 75 62 6c 65 7c 64 79 6e 61 6d 69 63 o|double|dynamic
00005ec0: 7c 65 6c 73 65 7c 65 6e 73 75 72 65 73 7c 65 6e |else|ensures|en
00005ed0: 75 6d 7c 65 72 72 6f 72 64 6f 6d 61 69 6e 7c 65 um|errordomain|e
00005ee0: 78 74 65 72 6e 7c 66 69 6e 61 6c 6c 79 7c 66 6c xtern|finally|fl
00005ef0: 6f 61 74 7c 66 6f 72 7c 66 6f 72 65 61 63 68 7c oat|for|foreach|
00005f00: 67 65 74 7c 69 66 7c 69 6e 7c 69 6e 6c 69 6e 65 get|if|in|inline
00005f10: 7c 69 6e 74 7c 69 6e 74 31 36 7c 69 6e 74 33 32 |int|int16|int32
00005f20: 7c 69 6e 74 36 34 7c 69 6e 74 38 7c 69 6e 74 65 |int64|int8|inte
00005f30: 72 66 61 63 65 7c 69 6e 74 65 72 6e 61 6c 7c 69 rface|internal|i
00005f40: 73 7c 6c 6f 63 6b 7c 6c 6f 6e 67 7c 6e 61 6d 65 s|lock|long|name
00005f50: 73 70 61 63 65 7c 6e 65 77 7c 6e 75 6c 6c 7c 6f space|new|null|o
00005f60: 75 74 7c 6f 76 65 72 72 69 64 65 7c 6f 77 6e 65 ut|override|owne
00005f70: 64 7c 70 61 72 61 6d 73 7c 70 72 69 76 61 74 65 d|params|private
00005f80: 7c 70 72 6f 74 65 63 74 65 64 7c 70 75 62 6c 69 |protected|publi
00005f90: 63 7c 72 65 66 7c 72 65 71 75 69 72 65 73 7c 72 c|ref|requires|r
00005fa0: 65 74 75 72 6e 7c 73 65 74 7c 73 68 6f 72 74 7c eturn|set|short|
00005fb0: 73 69 67 6e 61 6c 7c 73 69 7a 65 6f 66 7c 73 69 signal|sizeof|si
00005fc0: 7a 65 5f 74 7c 73 73 69 7a 65 5f 74 7c 73 74 61 ze_t|ssize_t|sta
00005fd0: 74 69 63 7c 73 74 72 69 6e 67 7c 73 74 72 75 63 tic|string|struc
00005fe0: 74 7c 73 77 69 74 63 68 7c 74 68 69 73 7c 74 68 t|switch|this|th
00005ff0: 72 6f 77 7c 74 68 72 6f 77 73 7c 74 72 79 7c 74 row|throws|try|t
00006000: 79 70 65 6f 66 7c 75 63 68 61 72 7c 75 69 6e 74 ypeof|uchar|uint
00006010: 7c 75 69 6e 74 31 36 7c 75 69 6e 74 33 32 7c 75 |uint16|uint32|u
00006020: 69 6e 74 36 34 7c 75 69 6e 74 38 7c 75 6c 6f 6e int64|uint8|ulon
00006030: 67 7c 75 6e 69 63 68 61 72 7c 75 6e 6f 77 6e 65 g|unichar|unowne
00006040: 64 7c 75 73 68 6f 72 74 7c 75 73 69 6e 67 7c 76 d|ushort|using|v
00006050: 61 6c 75 65 7c 76 61 72 7c 76 69 72 74 75 61 6c alue|var|virtual
00006060: 7c 76 6f 69 64 7c 76 6f 6c 61 74 69 6c 65 7c 77 |void|volatile|w
00006070: 65 61 6b 7c 77 68 69 6c 65 7c 79 69 65 6c 64 29 eak|while|yield)
00006080: 5c 62 2f 69 2c 66 75 6e 63 74 69 6f 6e 3a 2f 5c \b/i,function:/\
00006090: 62 5c 77 2b 28 3f 3d 5c 73 2a 5c 28 29 2f 2c 6e b\w+(?=\s*\()/,n
000060a0: 75 6d 62 65 72 3a 2f 28 3f 3a 5c 62 30 78 5b 5c umber:/(?:\b0x[\
000060b0: 64 61 2d 66 5d 2b 5c 62 7c 28 3f 3a 5c 62 5c 64 da-f]+\b|(?:\b\d
000060c0: 2b 28 3f 3a 5c 2e 5c 64 2a 29 3f 7c 5c 42 5c 2e +(?:\.\d*)?|\B\.
000060d0: 5c 64 2b 29 28 3f 3a 65 5b 2b 2d 5d 3f 5c 64 2b \d+)(?:e[+-]?\d+
000060e0: 29 3f 29 28 3f 3a 66 7c 75 3f 6c 3f 29 3f 2f 69 )?)(?:f|u?l?)?/i
000060f0: 2c 6f 70 65 72 61 74 6f 72 3a 2f 5c 2b 5c 2b 7c ,operator:/\+\+|
00006100: 2d 2d 7c 26 26 7c 5c 7c 5c 7c 7c 3c 3c 3d 3f 7c --|&&|\|\||<<=?|
00006110: 3e 3e 3d 3f 7c 3d 3e 7c 2d 3e 7c 7e 7c 5b 2b 5c >>=?|=>|->|~|[+\
00006120: 2d 2a 5c 2f 25 26 5e 7c 3d 21 3c 3e 5d 3d 3f 7c -*\/%&^|=!<>]=?|
00006130: 5c 3f 5c 3f 3f 7c 5c 2e 5c 2e 5c 2e 2f 2c 70 75 \?\??|\.\.\./,pu
00006140: 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 7b 7d 5b 5c nctuation:/[{}[\
00006150: 5d 3b 28 29 2c 2e 3a 5d 2f 2c 63 6f 6e 73 74 61 ];(),.:]/,consta
00006160: 6e 74 3a 2f 5c 62 5b 41 2d 5a 30 2d 39 5f 5d 2b nt:/\b[A-Z0-9_]+
00006170: 5c 62 2f 7d 29 2c 65 2e 6c 61 6e 67 75 61 67 65 \b/}),e.language
00006180: 73 2e 69 6e 73 65 72 74 42 65 66 6f 72 65 28 22 s.insertBefore("
00006190: 76 61 6c 61 22 2c 22 73 74 72 69 6e 67 22 2c 7b vala","string",{
000061a0: 22 72 61 77 2d 73 74 72 69 6e 67 22 3a 7b 70 61 "raw-string":{pa
000061b0: 74 74 65 72 6e 3a 2f 22 22 22 5b 5c 73 5c 53 5d ttern:/"""[\s\S]
000061c0: 2a 3f 22 22 22 2f 2c 67 72 65 65 64 79 3a 21 30 *?"""/,greedy:!0
000061d0: 2c 61 6c 69 61 73 3a 22 73 74 72 69 6e 67 22 7d ,alias:"string"}
000061e0: 2c 22 74 65 6d 70 6c 61 74 65 2d 73 74 72 69 6e ,"template-strin
000061f0: 67 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 40 22 5b g":{pattern:/@"[
00006200: 5c 73 5c 53 5d 2a 3f 22 2f 2c 67 72 65 65 64 79 \s\S]*?"/,greedy
00006210: 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 69 6e 74 65 :!0,inside:{inte
00006220: 72 70 6f 6c 61 74 69 6f 6e 3a 7b 70 61 74 74 65 rpolation:{patte
00006230: 72 6e 3a 2f 5c 24 28 3f 3a 5c 28 5b 5e 29 5d 2a rn:/\$(?:\([^)]*
00006240: 5c 29 7c 5b 61 2d 7a 41 2d 5a 5d 5c 77 2a 29 2f \)|[a-zA-Z]\w*)/
00006250: 2c 69 6e 73 69 64 65 3a 7b 64 65 6c 69 6d 69 74 ,inside:{delimit
00006260: 65 72 3a 7b 70 61 74 74 65 72 6e 3a 2f 5e 5c 24 er:{pattern:/^\$
00006270: 5c 28 3f 7c 5c 29 24 2f 2c 61 6c 69 61 73 3a 22 \(?|\)$/,alias:"
00006280: 70 75 6e 63 74 75 61 74 69 6f 6e 22 7d 2c 72 65 punctuation"},re
00006290: 73 74 3a 65 2e 6c 61 6e 67 75 61 67 65 73 2e 76 st:e.languages.v
000062a0: 61 6c 61 7d 7d 2c 73 74 72 69 6e 67 3a 2f 5b 5c ala}},string:/[\
000062b0: 73 5c 53 5d 2b 2f 7d 7d 7d 29 2c 65 2e 6c 61 6e s\S]+/}}}),e.lan
000062c0: 67 75 61 67 65 73 2e 69 6e 73 65 72 74 42 65 66 guages.insertBef
000062d0: 6f 72 65 28 22 76 61 6c 61 22 2c 22 6b 65 79 77 ore("vala","keyw
000062e0: 6f 72 64 22 2c 7b 72 65 67 65 78 3a 7b 70 61 74 ord",{regex:{pat
000062f0: 74 65 72 6e 3a 2f 5c 2f 28 3f 3a 5c 5b 28 3f 3a tern:/\/(?:\[(?:
00006300: 5b 5e 5c 5d 5c 5c 5c 72 5c 6e 5d 7c 5c 5c 2e 29 [^\]\\\r\n]|\\.)
00006310: 2a 5c 5d 7c 5c 5c 2e 7c 5b 5e 2f 5c 5c 5c 5b 5c *\]|\\.|[^/\\\[\
00006320: 72 5c 6e 5d 29 2b 5c 2f 5b 69 6d 73 78 5d 7b 30 r\n])+\/[imsx]{0
00006330: 2c 34 7d 28 3f 3d 5c 73 2a 28 3f 3a 24 7c 5b 5c ,4}(?=\s*(?:$|[\
00006340: 72 5c 6e 2c 2e 3b 7d 29 5c 5d 5d 29 29 2f 2c 67 r\n,.;})\]]))/,g
00006350: 72 65 65 64 79 3a 21 30 2c 69 6e 73 69 64 65 3a reedy:!0,inside:
00006360: 7b 22 72 65 67 65 78 2d 73 6f 75 72 63 65 22 3a {"regex-source":
00006370: 7b 70 61 74 74 65 72 6e 3a 2f 5e 28 5c 2f 29 5b {pattern:/^(\/)[
00006380: 5c 73 5c 53 5d 2b 28 3f 3d 5c 2f 5b 61 2d 7a 5d \s\S]+(?=\/[a-z]
00006390: 2a 24 29 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a *$)/,lookbehind:
000063a0: 21 30 2c 61 6c 69 61 73 3a 22 6c 61 6e 67 75 61 !0,alias:"langua
000063b0: 67 65 2d 72 65 67 65 78 22 2c 69 6e 73 69 64 65 ge-regex",inside
000063c0: 3a 65 2e 6c 61 6e 67 75 61 67 65 73 2e 72 65 67 :e.languages.reg
000063d0: 65 78 7d 2c 22 72 65 67 65 78 2d 64 65 6c 69 6d ex},"regex-delim
000063e0: 69 74 65 72 22 3a 2f 5e 5c 2f 2f 2c 22 72 65 67 iter":/^\//,"reg
000063f0: 65 78 2d 66 6c 61 67 73 22 3a 2f 5e 5b 61 2d 7a ex-flags":/^[a-z
00006400: 5d 2b 24 2f 7d 7d 7d 29 7d 65 2e 65 78 70 6f 72 ]+$/}}})}e.expor
00006410: 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 ts=t,t.displayNa
00006420: 6d 65 3d 22 76 61 6c 61 22 2c 74 2e 61 6c 69 61 me="vala",t.alia
00006430: 73 65 73 3d 5b 5d 7d 2c 32 30 34 38 39 3a 66 75 ses=[]},20489:fu
00006440: 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 nction(e,t,n){"u
00006450: 73 65 20 73 74 72 69 63 74 22 3b 76 61 72 20 72 se strict";var r
00006460: 3d 6e 28 34 37 34 31 39 29 3b 66 75 6e 63 74 69 =n(47419);functi
00006470: 6f 6e 20 6f 28 65 29 7b 65 2e 72 65 67 69 73 74 on o(e){e.regist
00006480: 65 72 28 72 29 2c 65 2e 6c 61 6e 67 75 61 67 65 er(r),e.language
00006490: 73 2e 76 62 6e 65 74 3d 65 2e 6c 61 6e 67 75 61 s.vbnet=e.langua
000064a0: 67 65 73 2e 65 78 74 65 6e 64 28 22 62 61 73 69 ges.extend("basi
000064b0: 63 22 2c 7b 63 6f 6d 6d 65 6e 74 3a 5b 7b 70 61 c",{comment:[{pa
000064c0: 74 74 65 72 6e 3a 2f 28 3f 3a 21 7c 52 45 4d 5c ttern:/(?:!|REM\
000064d0: 62 29 2e 2b 2f 69 2c 69 6e 73 69 64 65 3a 7b 6b b).+/i,inside:{k
000064e0: 65 79 77 6f 72 64 3a 2f 5e 52 45 4d 2f 69 7d 7d eyword:/^REM/i}}
000064f0: 2c 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 7c 5b 5e ,{pattern:/(^|[^
00006500: 5c 5c 3a 5d 29 27 2e 2a 2f 2c 6c 6f 6f 6b 62 65 \\:])'.*/,lookbe
00006510: 68 69 6e 64 3a 21 30 2c 67 72 65 65 64 79 3a 21 hind:!0,greedy:!
00006520: 30 7d 5d 2c 73 74 72 69 6e 67 3a 7b 70 61 74 74 0}],string:{patt
00006530: 65 72 6e 3a 2f 28 5e 7c 5b 5e 22 5d 29 22 28 3f ern:/(^|[^"])"(?
00006540: 3a 22 22 7c 5b 5e 22 5d 29 2a 22 28 3f 21 22 29 :""|[^"])*"(?!")
00006550: 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c /,lookbehind:!0,
00006560: 67 72 65 65 64 79 3a 21 30 7d 2c 6b 65 79 77 6f greedy:!0},keywo
00006570: 72 64 3a 2f 28 3f 3a 5c 62 28 3f 3a 41 44 44 48 rd:/(?:\b(?:ADDH
00006580: 41 4e 44 4c 45 52 7c 41 44 44 52 45 53 53 4f 46 ANDLER|ADDRESSOF
00006590: 7c 41 4c 49 41 53 7c 41 4e 44 7c 41 4e 44 41 4c |ALIAS|AND|ANDAL
000065a0: 53 4f 7c 41 53 7c 42 45 45 50 7c 42 4c 4f 41 44 SO|AS|BEEP|BLOAD
000065b0: 7c 42 4f 4f 4c 45 41 4e 7c 42 53 41 56 45 7c 42 |BOOLEAN|BSAVE|B
000065c0: 59 52 45 46 7c 42 59 54 45 7c 42 59 56 41 4c 7c YREF|BYTE|BYVAL|
000065d0: 43 41 4c 4c 28 3f 3a 20 41 42 53 4f 4c 55 54 45 CALL(?: ABSOLUTE
000065e0: 29 3f 7c 43 41 53 45 7c 43 41 54 43 48 7c 43 42 )?|CASE|CATCH|CB
000065f0: 4f 4f 4c 7c 43 42 59 54 45 7c 43 43 48 41 52 7c OOL|CBYTE|CCHAR|
00006600: 43 44 41 54 45 7c 43 44 42 4c 7c 43 44 45 43 7c CDATE|CDBL|CDEC|
00006610: 43 48 41 49 4e 7c 43 48 41 52 7c 43 48 44 49 52 CHAIN|CHAR|CHDIR
00006620: 7c 43 49 4e 54 7c 43 4c 41 53 53 7c 43 4c 45 41 |CINT|CLASS|CLEA
00006630: 52 7c 43 4c 4e 47 7c 43 4c 4f 53 45 7c 43 4c 53 R|CLNG|CLOSE|CLS
00006640: 7c 43 4f 42 4a 7c 43 4f 4d 7c 43 4f 4d 4d 4f 4e |COBJ|COM|COMMON
00006650: 7c 43 4f 4e 53 54 7c 43 4f 4e 54 49 4e 55 45 7c |CONST|CONTINUE|
00006660: 43 53 42 59 54 45 7c 43 53 48 4f 52 54 7c 43 53 CSBYTE|CSHORT|CS
00006670: 4e 47 7c 43 53 54 52 7c 43 54 59 50 45 7c 43 55 NG|CSTR|CTYPE|CU
00006680: 49 4e 54 7c 43 55 4c 4e 47 7c 43 55 53 48 4f 52 INT|CULNG|CUSHOR
00006690: 54 7c 44 41 54 41 7c 44 41 54 45 7c 44 45 43 49 T|DATA|DATE|DECI
000066a0: 4d 41 4c 7c 44 45 43 4c 41 52 45 7c 44 45 46 28 MAL|DECLARE|DEF(
000066b0: 3f 3a 20 46 4e 7c 20 53 45 47 7c 44 42 4c 7c 49 ?: FN| SEG|DBL|I
000066c0: 4e 54 7c 4c 4e 47 7c 53 4e 47 7c 53 54 52 29 7c NT|LNG|SNG|STR)|
000066d0: 44 45 46 41 55 4c 54 7c 44 45 4c 45 47 41 54 45 DEFAULT|DELEGATE
000066e0: 7c 44 49 4d 7c 44 49 52 45 43 54 43 41 53 54 7c |DIM|DIRECTCAST|
000066f0: 44 4f 7c 44 4f 55 42 4c 45 7c 45 4c 53 45 7c 45 DO|DOUBLE|ELSE|E
00006700: 4c 53 45 49 46 7c 45 4e 44 7c 45 4e 55 4d 7c 45 LSEIF|END|ENUM|E
00006710: 4e 56 49 52 4f 4e 7c 45 52 41 53 45 7c 45 52 52 NVIRON|ERASE|ERR
00006720: 4f 52 7c 45 56 45 4e 54 7c 45 58 49 54 7c 46 41 OR|EVENT|EXIT|FA
00006730: 4c 53 45 7c 46 49 45 4c 44 7c 46 49 4c 45 53 7c LSE|FIELD|FILES|
00006740: 46 49 4e 41 4c 4c 59 7c 46 4f 52 28 3f 3a 20 45 FINALLY|FOR(?: E
00006750: 41 43 48 29 3f 7c 46 52 49 45 4e 44 7c 46 55 4e ACH)?|FRIEND|FUN
00006760: 43 54 49 4f 4e 7c 47 45 54 7c 47 45 54 54 59 50 CTION|GET|GETTYP
00006770: 45 7c 47 45 54 58 4d 4c 4e 41 4d 45 53 50 41 43 E|GETXMLNAMESPAC
00006780: 45 7c 47 4c 4f 42 41 4c 7c 47 4f 53 55 42 7c 47 E|GLOBAL|GOSUB|G
00006790: 4f 54 4f 7c 48 41 4e 44 4c 45 53 7c 49 46 7c 49 OTO|HANDLES|IF|I
000067a0: 4d 50 4c 45 4d 45 4e 54 53 7c 49 4d 50 4f 52 54 MPLEMENTS|IMPORT
000067b0: 53 7c 49 4e 7c 49 4e 48 45 52 49 54 53 7c 49 4e S|IN|INHERITS|IN
000067c0: 50 55 54 7c 49 4e 54 45 47 45 52 7c 49 4e 54 45 PUT|INTEGER|INTE
000067d0: 52 46 41 43 45 7c 49 4f 43 54 4c 7c 49 53 7c 49 RFACE|IOCTL|IS|I
000067e0: 53 4e 4f 54 7c 4b 45 59 7c 4b 49 4c 4c 7c 4c 45 SNOT|KEY|KILL|LE
000067f0: 54 7c 4c 49 42 7c 4c 49 4b 45 7c 4c 49 4e 45 20 T|LIB|LIKE|LINE
00006800: 49 4e 50 55 54 7c 4c 4f 43 41 54 45 7c 4c 4f 43 INPUT|LOCATE|LOC
00006810: 4b 7c 4c 4f 4e 47 7c 4c 4f 4f 50 7c 4c 53 45 54 K|LONG|LOOP|LSET
00006820: 7c 4d 45 7c 4d 4b 44 49 52 7c 4d 4f 44 7c 4d 4f |ME|MKDIR|MOD|MO
00006830: 44 55 4c 45 7c 4d 55 53 54 49 4e 48 45 52 49 54 DULE|MUSTINHERIT
00006840: 7c 4d 55 53 54 4f 56 45 52 52 49 44 45 7c 4d 59 |MUSTOVERRIDE|MY
00006850: 42 41 53 45 7c 4d 59 43 4c 41 53 53 7c 4e 41 4d BASE|MYCLASS|NAM
00006860: 45 7c 4e 41 4d 45 53 50 41 43 45 7c 4e 41 52 52 E|NAMESPACE|NARR
00006870: 4f 57 49 4e 47 7c 4e 45 57 7c 4e 45 58 54 7c 4e OWING|NEW|NEXT|N
00006880: 4f 54 7c 4e 4f 54 48 49 4e 47 7c 4e 4f 54 49 4e OT|NOTHING|NOTIN
00006890: 48 45 52 49 54 41 42 4c 45 7c 4e 4f 54 4f 56 45 HERITABLE|NOTOVE
000068a0: 52 52 49 44 41 42 4c 45 7c 4f 42 4a 45 43 54 7c RRIDABLE|OBJECT|
000068b0: 4f 46 7c 4f 46 46 7c 4f 4e 28 3f 3a 20 43 4f 4d OF|OFF|ON(?: COM
000068c0: 7c 20 45 52 52 4f 52 7c 20 4b 45 59 7c 20 54 49 | ERROR| KEY| TI
000068d0: 4d 45 52 29 3f 7c 4f 50 45 4e 7c 4f 50 45 52 41 MER)?|OPEN|OPERA
000068e0: 54 4f 52 7c 4f 50 54 49 4f 4e 28 3f 3a 20 42 41 TOR|OPTION(?: BA
000068f0: 53 45 29 3f 7c 4f 50 54 49 4f 4e 41 4c 7c 4f 52 SE)?|OPTIONAL|OR
00006900: 7c 4f 52 45 4c 53 45 7c 4f 55 54 7c 4f 56 45 52 |ORELSE|OUT|OVER
00006910: 4c 4f 41 44 53 7c 4f 56 45 52 52 49 44 41 42 4c LOADS|OVERRIDABL
00006920: 45 7c 4f 56 45 52 52 49 44 45 53 7c 50 41 52 41 E|OVERRIDES|PARA
00006930: 4d 41 52 52 41 59 7c 50 41 52 54 49 41 4c 7c 50 MARRAY|PARTIAL|P
00006940: 4f 4b 45 7c 50 52 49 56 41 54 45 7c 50 52 4f 50 OKE|PRIVATE|PROP
00006950: 45 52 54 59 7c 50 52 4f 54 45 43 54 45 44 7c 50 ERTY|PROTECTED|P
00006960: 55 42 4c 49 43 7c 50 55 54 7c 52 41 49 53 45 45 UBLIC|PUT|RAISEE
00006970: 56 45 4e 54 7c 52 45 41 44 7c 52 45 41 44 4f 4e VENT|READ|READON
00006980: 4c 59 7c 52 45 44 49 4d 7c 52 45 4d 7c 52 45 4d LY|REDIM|REM|REM
00006990: 4f 56 45 48 41 4e 44 4c 45 52 7c 52 45 53 54 4f OVEHANDLER|RESTO
000069a0: 52 45 7c 52 45 53 55 4d 45 7c 52 45 54 55 52 4e RE|RESUME|RETURN
000069b0: 7c 52 4d 44 49 52 7c 52 53 45 54 7c 52 55 4e 7c |RMDIR|RSET|RUN|
000069c0: 53 42 59 54 45 7c 53 45 4c 45 43 54 28 3f 3a 20 SBYTE|SELECT(?:
000069d0: 43 41 53 45 29 3f 7c 53 45 54 7c 53 48 41 44 4f CASE)?|SET|SHADO
000069e0: 57 53 7c 53 48 41 52 45 44 7c 53 48 45 4c 4c 7c WS|SHARED|SHELL|
000069f0: 53 48 4f 52 54 7c 53 49 4e 47 4c 45 7c 53 4c 45 SHORT|SINGLE|SLE
00006a00: 45 50 7c 53 54 41 54 49 43 7c 53 54 45 50 7c 53 EP|STATIC|STEP|S
00006a10: 54 4f 50 7c 53 54 52 49 4e 47 7c 53 54 52 55 43 TOP|STRING|STRUC
00006a20: 54 55 52 45 7c 53 55 42 7c 53 57 41 50 7c 53 59 TURE|SUB|SWAP|SY
00006a30: 4e 43 4c 4f 43 4b 7c 53 59 53 54 45 4d 7c 54 48 NCLOCK|SYSTEM|TH
00006a40: 45 4e 7c 54 48 52 4f 57 7c 54 49 4d 45 52 7c 54 EN|THROW|TIMER|T
00006a50: 4f 7c 54 52 4f 46 46 7c 54 52 4f 4e 7c 54 52 55 O|TROFF|TRON|TRU
00006a60: 45 7c 54 52 59 7c 54 52 59 43 41 53 54 7c 54 59 E|TRY|TRYCAST|TY
00006a70: 50 45 7c 54 59 50 45 4f 46 7c 55 49 4e 54 45 47 PE|TYPEOF|UINTEG
00006a80: 45 52 7c 55 4c 4f 4e 47 7c 55 4e 4c 4f 43 4b 7c ER|ULONG|UNLOCK|
00006a90: 55 4e 54 49 4c 7c 55 53 48 4f 52 54 7c 55 53 49 UNTIL|USHORT|USI
00006aa0: 4e 47 7c 56 49 45 57 20 50 52 49 4e 54 7c 57 41 NG|VIEW PRINT|WA
00006ab0: 49 54 7c 57 45 4e 44 7c 57 48 45 4e 7c 57 48 49 IT|WEND|WHEN|WHI
00006ac0: 4c 45 7c 57 49 44 45 4e 49 4e 47 7c 57 49 54 48 LE|WIDENING|WITH
00006ad0: 7c 57 49 54 48 45 56 45 4e 54 53 7c 57 52 49 54 |WITHEVENTS|WRIT
00006ae0: 45 7c 57 52 49 54 45 4f 4e 4c 59 7c 58 4f 52 29 E|WRITEONLY|XOR)
00006af0: 7c 5c 42 28 3f 3a 23 43 4f 4e 53 54 7c 23 45 4c |\B(?:#CONST|#EL
00006b00: 53 45 7c 23 45 4c 53 45 49 46 7c 23 45 4e 44 7c SE|#ELSEIF|#END|
00006b10: 23 49 46 29 29 28 3f 3a 5c 24 7c 5c 62 29 2f 69 #IF))(?:\$|\b)/i
00006b20: 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 2c ,punctuation:/[,
00006b30: 3b 3a 28 29 7b 7d 5d 2f 7d 29 7d 65 2e 65 78 70 ;:(){}]/})}e.exp
00006b40: 6f 72 74 73 3d 6f 2c 6f 2e 64 69 73 70 6c 61 79 orts=o,o.display
00006b50: 4e 61 6d 65 3d 22 76 62 6e 65 74 22 2c 6f 2e 61 Name="vbnet",o.a
00006b60: 6c 69 61 73 65 73 3d 5b 5d 7d 2c 34 36 30 37 32 liases=[]},46072
00006b70: 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 :function(e){"us
00006b80: 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 74 69 e strict";functi
00006b90: 6f 6e 20 74 28 65 29 7b 21 66 75 6e 63 74 69 6f on t(e){!functio
00006ba0: 6e 28 65 29 7b 65 2e 6c 61 6e 67 75 61 67 65 73 n(e){e.languages
00006bb0: 2e 76 65 6c 6f 63 69 74 79 3d 65 2e 6c 61 6e 67 .velocity=e.lang
00006bc0: 75 61 67 65 73 2e 65 78 74 65 6e 64 28 22 6d 61 uages.extend("ma
00006bd0: 72 6b 75 70 22 2c 7b 7d 29 3b 76 61 72 20 74 3d rkup",{});var t=
00006be0: 7b 76 61 72 69 61 62 6c 65 3a 7b 70 61 74 74 65 {variable:{patte
00006bf0: 72 6e 3a 2f 28 5e 7c 5b 5e 5c 5c 5d 28 3f 3a 5c rn:/(^|[^\\](?:\
00006c00: 5c 5c 5c 29 2a 29 5c 24 21 3f 28 3f 3a 5b 61 2d \\\)*)\$!?(?:[a-
00006c10: 7a 5d 5b 5c 77 2d 5d 2a 28 3f 3a 5c 28 5b 5e 29 z][\w-]*(?:\([^)
00006c20: 5d 2a 5c 29 29 3f 28 3f 3a 5c 2e 5b 61 2d 7a 5d ]*\))?(?:\.[a-z]
00006c30: 5b 5c 77 2d 5d 2a 28 3f 3a 5c 28 5b 5e 29 5d 2a [\w-]*(?:\([^)]*
00006c40: 5c 29 29 3f 7c 5c 5b 5b 5e 5c 5d 5d 2b 5c 5d 29 \))?|\[[^\]]+\])
00006c50: 2a 7c 5c 7b 5b 5e 7d 5d 2b 5c 7d 29 2f 69 2c 6c *|\{[^}]+\})/i,l
00006c60: 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 6e 73 ookbehind:!0,ins
00006c70: 69 64 65 3a 7b 7d 7d 2c 73 74 72 69 6e 67 3a 7b ide:{}},string:{
00006c80: 70 61 74 74 65 72 6e 3a 2f 22 5b 5e 22 5d 2a 22 pattern:/"[^"]*"
00006c90: 7c 27 5b 5e 27 5d 2a 27 2f 2c 67 72 65 65 64 79 |'[^']*'/,greedy
00006ca0: 3a 21 30 7d 2c 6e 75 6d 62 65 72 3a 2f 5c 62 5c :!0},number:/\b\
00006cb0: 64 2b 5c 62 2f 2c 62 6f 6f 6c 65 61 6e 3a 2f 5c d+\b/,boolean:/\
00006cc0: 62 28 3f 3a 66 61 6c 73 65 7c 74 72 75 65 29 5c b(?:false|true)\
00006cd0: 62 2f 2c 6f 70 65 72 61 74 6f 72 3a 2f 5b 3d 21 b/,operator:/[=!
00006ce0: 3c 3e 5d 3d 3f 7c 5b 2b 2a 2f 25 2d 5d 7c 26 26 <>]=?|[+*/%-]|&&
00006cf0: 7c 5c 7c 5c 7c 7c 5c 2e 5c 2e 7c 5c 62 28 3f 3a |\|\||\.\.|\b(?:
00006d00: 65 71 7c 67 5b 65 74 5d 7c 6c 5b 65 74 5d 7c 6e eq|g[et]|l[et]|n
00006d10: 28 3f 3a 65 7c 6f 74 29 29 5c 62 2f 2c 70 75 6e (?:e|ot))\b/,pun
00006d20: 63 74 75 61 74 69 6f 6e 3a 2f 5b 28 29 7b 7d 5b ctuation:/[(){}[
00006d30: 5c 5d 3a 2c 2e 5d 2f 7d 3b 74 2e 76 61 72 69 61 \]:,.]/};t.varia
00006d40: 62 6c 65 2e 69 6e 73 69 64 65 3d 7b 73 74 72 69 ble.inside={stri
00006d50: 6e 67 3a 74 2e 73 74 72 69 6e 67 2c 66 75 6e 63 ng:t.string,func
00006d60: 74 69 6f 6e 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 tion:{pattern:/(
00006d70: 5b 5e 5c 77 2d 5d 29 5b 61 2d 7a 5d 5b 5c 77 2d [^\w-])[a-z][\w-
00006d80: 5d 2a 28 3f 3d 5c 28 29 2f 2c 6c 6f 6f 6b 62 65 ]*(?=\()/,lookbe
00006d90: 68 69 6e 64 3a 21 30 7d 2c 6e 75 6d 62 65 72 3a hind:!0},number:
00006da0: 74 2e 6e 75 6d 62 65 72 2c 62 6f 6f 6c 65 61 6e t.number,boolean
00006db0: 3a 74 2e 62 6f 6f 6c 65 61 6e 2c 70 75 6e 63 74 :t.boolean,punct
00006dc0: 75 61 74 69 6f 6e 3a 74 2e 70 75 6e 63 74 75 61 uation:t.punctua
00006dd0: 74 69 6f 6e 7d 2c 65 2e 6c 61 6e 67 75 61 67 65 tion},e.language
00006de0: 73 2e 69 6e 73 65 72 74 42 65 66 6f 72 65 28 22 s.insertBefore("
00006df0: 76 65 6c 6f 63 69 74 79 22 2c 22 63 6f 6d 6d 65 velocity","comme
00006e00: 6e 74 22 2c 7b 75 6e 70 61 72 73 65 64 3a 7b 70 nt",{unparsed:{p
00006e10: 61 74 74 65 72 6e 3a 2f 28 5e 7c 5b 5e 5c 5c 5d attern:/(^|[^\\]
00006e20: 29 23 5c 5b 5c 5b 5b 5c 73 5c 53 5d 2a 3f 5c 5d )#\[\[[\s\S]*?\]
00006e30: 5c 5d 23 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a \]#/,lookbehind:
00006e40: 21 30 2c 67 72 65 65 64 79 3a 21 30 2c 69 6e 73 !0,greedy:!0,ins
00006e50: 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e ide:{punctuation
00006e60: 3a 2f 5e 23 5c 5b 5c 5b 7c 5c 5d 5c 5d 23 24 2f :/^#\[\[|\]\]#$/
00006e70: 7d 7d 2c 22 76 65 6c 6f 63 69 74 79 2d 63 6f 6d }},"velocity-com
00006e80: 6d 65 6e 74 22 3a 5b 7b 70 61 74 74 65 72 6e 3a ment":[{pattern:
00006e90: 2f 28 5e 7c 5b 5e 5c 5c 5d 29 23 5c 2a 5b 5c 73 /(^|[^\\])#\*[\s
00006ea0: 5c 53 5d 2a 3f 5c 2a 23 2f 2c 6c 6f 6f 6b 62 65 \S]*?\*#/,lookbe
00006eb0: 68 69 6e 64 3a 21 30 2c 67 72 65 65 64 79 3a 21 hind:!0,greedy:!
00006ec0: 30 2c 61 6c 69 61 73 3a 22 63 6f 6d 6d 65 6e 74 0,alias:"comment
00006ed0: 22 7d 2c 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 7c "},{pattern:/(^|
00006ee0: 5b 5e 5c 5c 5d 29 23 23 2e 2a 2f 2c 6c 6f 6f 6b [^\\])##.*/,look
00006ef0: 62 65 68 69 6e 64 3a 21 30 2c 67 72 65 65 64 79 behind:!0,greedy
00006f00: 3a 21 30 2c 61 6c 69 61 73 3a 22 63 6f 6d 6d 65 :!0,alias:"comme
00006f10: 6e 74 22 7d 5d 2c 64 69 72 65 63 74 69 76 65 3a nt"}],directive:
00006f20: 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 7c 5b 5e 5c {pattern:/(^|[^\
00006f30: 5c 5d 28 3f 3a 5c 5c 5c 5c 29 2a 29 23 40 3f 28 \](?:\\\\)*)#@?(
00006f40: 3f 3a 5b 61 2d 7a 5d 5b 5c 77 2d 5d 2a 7c 5c 7b ?:[a-z][\w-]*|\{
00006f50: 5b 61 2d 7a 5d 5b 5c 77 2d 5d 2a 5c 7d 29 28 3f [a-z][\w-]*\})(?
00006f60: 3a 5c 73 2a 5c 28 28 3f 3a 5b 5e 28 29 5d 7c 5c :\s*\((?:[^()]|\
00006f70: 28 5b 5e 28 29 5d 2a 5c 29 29 2a 5c 29 29 3f 2f ([^()]*\))*\))?/
00006f80: 69 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c i,lookbehind:!0,
00006f90: 69 6e 73 69 64 65 3a 7b 6b 65 79 77 6f 72 64 3a inside:{keyword:
00006fa0: 7b 70 61 74 74 65 72 6e 3a 2f 5e 23 40 3f 28 3f {pattern:/^#@?(?
00006fb0: 3a 5b 61 2d 7a 5d 5b 5c 77 2d 5d 2a 7c 5c 7b 5b :[a-z][\w-]*|\{[
00006fc0: 61 2d 7a 5d 5b 5c 77 2d 5d 2a 5c 7d 29 7c 5c 62 a-z][\w-]*\})|\b
00006fd0: 69 6e 5c 62 2f 2c 69 6e 73 69 64 65 3a 7b 70 75 in\b/,inside:{pu
00006fe0: 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 7b 7d 5d 2f nctuation:/[{}]/
00006ff0: 7d 7d 2c 72 65 73 74 3a 74 7d 7d 2c 76 61 72 69 }},rest:t}},vari
00007000: 61 62 6c 65 3a 74 2e 76 61 72 69 61 62 6c 65 7d able:t.variable}
00007010: 29 2c 65 2e 6c 61 6e 67 75 61 67 65 73 2e 76 65 ),e.languages.ve
00007020: 6c 6f 63 69 74 79 2e 74 61 67 2e 69 6e 73 69 64 locity.tag.insid
00007030: 65 5b 22 61 74 74 72 2d 76 61 6c 75 65 22 5d 2e e["attr-value"].
00007040: 69 6e 73 69 64 65 2e 72 65 73 74 3d 65 2e 6c 61 inside.rest=e.la
00007050: 6e 67 75 61 67 65 73 2e 76 65 6c 6f 63 69 74 79 nguages.velocity
00007060: 7d 28 65 29 7d 65 2e 65 78 70 6f 72 74 73 3d 74 }(e)}e.exports=t
00007070: 2c 74 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 ,t.displayName="
00007080: 76 65 6c 6f 63 69 74 79 22 2c 74 2e 61 6c 69 61 velocity",t.alia
00007090: 73 65 73 3d 5b 5d 7d 2c 34 33 37 36 37 3a 66 75 ses=[]},43767:fu
000070a0: 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 nction(e){"use s
000070b0: 74 72 69 63 74 22 3b 66 75 6e 63 74 69 6f 6e 20 trict";function
000070c0: 74 28 65 29 7b 65 2e 6c 61 6e 67 75 61 67 65 73 t(e){e.languages
000070d0: 2e 76 65 72 69 6c 6f 67 3d 7b 63 6f 6d 6d 65 6e .verilog={commen
000070e0: 74 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 2f 5c 2f t:{pattern:/\/\/
000070f0: 2e 2a 7c 5c 2f 5c 2a 5b 5c 73 5c 53 5d 2a 3f 5c .*|\/\*[\s\S]*?\
00007100: 2a 5c 2f 2f 2c 67 72 65 65 64 79 3a 21 30 7d 2c *\//,greedy:!0},
00007110: 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 72 6e 3a string:{pattern:
00007120: 2f 22 28 3f 3a 5c 5c 28 3f 3a 5c 72 5c 6e 7c 5b /"(?:\\(?:\r\n|[
00007130: 5c 73 5c 53 5d 29 7c 5b 5e 22 5c 5c 5c 72 5c 6e \s\S])|[^"\\\r\n
00007140: 5d 29 2a 22 2f 2c 67 72 65 65 64 79 3a 21 30 7d ])*"/,greedy:!0}
00007150: 2c 22 6b 65 72 6e 65 6c 2d 66 75 6e 63 74 69 6f ,"kernel-functio
00007160: 6e 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 42 5c n":{pattern:/\B\
00007170: 24 5c 77 2b 5c 62 2f 2c 61 6c 69 61 73 3a 22 70 $\w+\b/,alias:"p
00007180: 72 6f 70 65 72 74 79 22 7d 2c 63 6f 6e 73 74 61 roperty"},consta
00007190: 6e 74 3a 2f 5c 42 60 5c 77 2b 5c 62 2f 2c 66 75 nt:/\B`\w+\b/,fu
000071a0: 6e 63 74 69 6f 6e 3a 2f 5c 62 5c 77 2b 28 3f 3d nction:/\b\w+(?=
000071b0: 5c 28 29 2f 2c 6b 65 79 77 6f 72 64 3a 2f 5c 62 \()/,keyword:/\b
000071c0: 28 3f 3a 61 6c 69 61 73 7c 61 6e 64 7c 61 73 73 (?:alias|and|ass
000071d0: 65 72 74 7c 61 73 73 69 67 6e 7c 61 73 73 75 6d ert|assign|assum
000071e0: 65 7c 61 75 74 6f 6d 61 74 69 63 7c 62 65 66 6f e|automatic|befo
000071f0: 72 65 7c 62 65 67 69 6e 7c 62 69 6e 64 7c 62 69 re|begin|bind|bi
00007200: 6e 73 7c 62 69 6e 73 6f 66 7c 62 69 74 7c 62 72 ns|binsof|bit|br
00007210: 65 61 6b 7c 62 75 66 7c 62 75 66 69 66 30 7c 62 eak|buf|bufif0|b
00007220: 75 66 69 66 31 7c 62 79 74 65 7c 63 61 73 65 7c ufif1|byte|case|
00007230: 63 61 73 65 78 7c 63 61 73 65 7a 7c 63 65 6c 6c casex|casez|cell
00007240: 7c 63 68 61 6e 64 6c 65 7c 63 6c 61 73 73 7c 63 |chandle|class|c
00007250: 6c 6f 63 6b 69 6e 67 7c 63 6d 6f 73 7c 63 6f 6e locking|cmos|con
00007260: 66 69 67 7c 63 6f 6e 73 74 7c 63 6f 6e 73 74 72 fig|const|constr
00007270: 61 69 6e 74 7c 63 6f 6e 74 65 78 74 7c 63 6f 6e aint|context|con
00007280: 74 69 6e 75 65 7c 63 6f 76 65 72 7c 63 6f 76 65 tinue|cover|cove
00007290: 72 67 72 6f 75 70 7c 63 6f 76 65 72 70 6f 69 6e rgroup|coverpoin
000072a0: 74 7c 63 72 6f 73 73 7c 64 65 61 73 73 69 67 6e t|cross|deassign
000072b0: 7c 64 65 66 61 75 6c 74 7c 64 65 66 70 61 72 61 |default|defpara
000072c0: 6d 7c 64 65 73 69 67 6e 7c 64 69 73 61 62 6c 65 m|design|disable
000072d0: 7c 64 69 73 74 7c 64 6f 7c 65 64 67 65 7c 65 6c |dist|do|edge|el
000072e0: 73 65 7c 65 6e 64 7c 65 6e 64 63 61 73 65 7c 65 se|end|endcase|e
000072f0: 6e 64 63 6c 61 73 73 7c 65 6e 64 63 6c 6f 63 6b ndclass|endclock
00007300: 69 6e 67 7c 65 6e 64 63 6f 6e 66 69 67 7c 65 6e ing|endconfig|en
00007310: 64 66 75 6e 63 74 69 6f 6e 7c 65 6e 64 67 65 6e dfunction|endgen
00007320: 65 72 61 74 65 7c 65 6e 64 67 72 6f 75 70 7c 65 erate|endgroup|e
00007330: 6e 64 69 6e 74 65 72 66 61 63 65 7c 65 6e 64 6d ndinterface|endm
00007340: 6f 64 75 6c 65 7c 65 6e 64 70 61 63 6b 61 67 65 odule|endpackage
00007350: 7c 65 6e 64 70 72 69 6d 69 74 69 76 65 7c 65 6e |endprimitive|en
00007360: 64 70 72 6f 67 72 61 6d 7c 65 6e 64 70 72 6f 70 dprogram|endprop
00007370: 65 72 74 79 7c 65 6e 64 73 65 71 75 65 6e 63 65 erty|endsequence
00007380: 7c 65 6e 64 73 70 65 63 69 66 79 7c 65 6e 64 74 |endspecify|endt
00007390: 61 62 6c 65 7c 65 6e 64 74 61 73 6b 7c 65 6e 75 able|endtask|enu
000073a0: 6d 7c 65 76 65 6e 74 7c 65 78 70 65 63 74 7c 65 m|event|expect|e
000073b0: 78 70 6f 72 74 7c 65 78 74 65 6e 64 73 7c 65 78 xport|extends|ex
000073c0: 74 65 72 6e 7c 66 69 6e 61 6c 7c 66 69 72 73 74 tern|final|first
000073d0: 5f 6d 61 74 63 68 7c 66 6f 72 7c 66 6f 72 63 65 _match|for|force
000073e0: 7c 66 6f 72 65 61 63 68 7c 66 6f 72 65 76 65 72 |foreach|forever
000073f0: 7c 66 6f 72 6b 7c 66 6f 72 6b 6a 6f 69 6e 7c 66 |fork|forkjoin|f
00007400: 75 6e 63 74 69 6f 6e 7c 67 65 6e 65 72 61 74 65 unction|generate
00007410: 7c 67 65 6e 76 61 72 7c 68 69 67 68 7a 30 7c 68 |genvar|highz0|h
00007420: 69 67 68 7a 31 7c 69 66 7c 69 66 66 7c 69 66 6e ighz1|if|iff|ifn
00007430: 6f 6e 65 7c 69 67 6e 6f 72 65 5f 62 69 6e 73 7c one|ignore_bins|
00007440: 69 6c 6c 65 67 61 6c 5f 62 69 6e 73 7c 69 6d 70 illegal_bins|imp
00007450: 6f 72 74 7c 69 6e 63 64 69 72 7c 69 6e 63 6c 75 ort|incdir|inclu
00007460: 64 65 7c 69 6e 69 74 69 61 6c 7c 69 6e 6f 75 74 de|initial|inout
00007470: 7c 69 6e 70 75 74 7c 69 6e 73 69 64 65 7c 69 6e |input|inside|in
00007480: 73 74 61 6e 63 65 7c 69 6e 74 7c 69 6e 74 65 67 stance|int|integ
00007490: 65 72 7c 69 6e 74 65 72 66 61 63 65 7c 69 6e 74 er|interface|int
000074a0: 65 72 73 65 63 74 7c 6a 6f 69 6e 7c 6a 6f 69 6e ersect|join|join
000074b0: 5f 61 6e 79 7c 6a 6f 69 6e 5f 6e 6f 6e 65 7c 6c _any|join_none|l
000074c0: 61 72 67 65 7c 6c 69 62 6c 69 73 74 7c 6c 69 62 arge|liblist|lib
000074d0: 72 61 72 79 7c 6c 6f 63 61 6c 7c 6c 6f 63 61 6c rary|local|local
000074e0: 70 61 72 61 6d 7c 6c 6f 67 69 63 7c 6c 6f 6e 67 param|logic|long
000074f0: 69 6e 74 7c 6d 61 63 72 6f 6d 6f 64 75 6c 65 7c int|macromodule|
00007500: 6d 61 74 63 68 65 73 7c 6d 65 64 69 75 6d 7c 6d matches|medium|m
00007510: 6f 64 70 6f 72 74 7c 6d 6f 64 75 6c 65 7c 6e 61 odport|module|na
00007520: 6e 64 7c 6e 65 67 65 64 67 65 7c 6e 65 77 7c 6e nd|negedge|new|n
00007530: 6d 6f 73 7c 6e 6f 72 7c 6e 6f 73 68 6f 77 63 61 mos|nor|noshowca
00007540: 6e 63 65 6c 6c 65 64 7c 6e 6f 74 7c 6e 6f 74 69 ncelled|not|noti
00007550: 66 30 7c 6e 6f 74 69 66 31 7c 6e 75 6c 6c 7c 6f f0|notif1|null|o
00007560: 72 7c 6f 75 74 70 75 74 7c 70 61 63 6b 61 67 65 r|output|package
00007570: 7c 70 61 63 6b 65 64 7c 70 61 72 61 6d 65 74 65 |packed|paramete
00007580: 72 7c 70 6d 6f 73 7c 70 6f 73 65 64 67 65 7c 70 r|pmos|posedge|p
00007590: 72 69 6d 69 74 69 76 65 7c 70 72 69 6f 72 69 74 rimitive|priorit
000075a0: 79 7c 70 72 6f 67 72 61 6d 7c 70 72 6f 70 65 72 y|program|proper
000075b0: 74 79 7c 70 72 6f 74 65 63 74 65 64 7c 70 75 6c ty|protected|pul
000075c0: 6c 30 7c 70 75 6c 6c 31 7c 70 75 6c 6c 64 6f 77 l0|pull1|pulldow
000075d0: 6e 7c 70 75 6c 6c 75 70 7c 70 75 6c 73 65 73 74 n|pullup|pulsest
000075e0: 79 6c 65 5f 6f 6e 64 65 74 65 63 74 7c 70 75 6c yle_ondetect|pul
000075f0: 73 65 73 74 79 6c 65 5f 6f 6e 65 76 65 6e 74 7c sestyle_onevent|
00007600: 70 75 72 65 7c 72 61 6e 64 7c 72 61 6e 64 63 7c pure|rand|randc|
00007610: 72 61 6e 64 63 61 73 65 7c 72 61 6e 64 73 65 71 randcase|randseq
00007620: 75 65 6e 63 65 7c 72 63 6d 6f 73 7c 72 65 61 6c uence|rcmos|real
00007630: 7c 72 65 61 6c 74 69 6d 65 7c 72 65 66 7c 72 65 |realtime|ref|re
00007640: 67 7c 72 65 6c 65 61 73 65 7c 72 65 70 65 61 74 g|release|repeat
00007650: 7c 72 65 74 75 72 6e 7c 72 6e 6d 6f 73 7c 72 70 |return|rnmos|rp
00007660: 6d 6f 73 7c 72 74 72 61 6e 7c 72 74 72 61 6e 69 mos|rtran|rtrani
00007670: 66 30 7c 72 74 72 61 6e 69 66 31 7c 73 63 61 6c f0|rtranif1|scal
00007680: 61 72 65 64 7c 73 65 71 75 65 6e 63 65 7c 73 68 ared|sequence|sh
00007690: 6f 72 74 69 6e 74 7c 73 68 6f 72 74 72 65 61 6c ortint|shortreal
000076a0: 7c 73 68 6f 77 63 61 6e 63 65 6c 6c 65 64 7c 73 |showcancelled|s
000076b0: 69 67 6e 65 64 7c 73 6d 61 6c 6c 7c 73 6f 6c 76 igned|small|solv
000076c0: 65 7c 73 70 65 63 69 66 79 7c 73 70 65 63 70 61 e|specify|specpa
000076d0: 72 61 6d 7c 73 74 61 74 69 63 7c 73 74 72 69 6e ram|static|strin
000076e0: 67 7c 73 74 72 6f 6e 67 30 7c 73 74 72 6f 6e 67 g|strong0|strong
000076f0: 31 7c 73 74 72 75 63 74 7c 73 75 70 65 72 7c 73 1|struct|super|s
00007700: 75 70 70 6c 79 30 7c 73 75 70 70 6c 79 31 7c 74 upply0|supply1|t
00007710: 61 62 6c 65 7c 74 61 67 67 65 64 7c 74 61 73 6b able|tagged|task
00007720: 7c 74 68 69 73 7c 74 68 72 6f 75 67 68 6f 75 74 |this|throughout
00007730: 7c 74 69 6d 65 7c 74 69 6d 65 70 72 65 63 69 73 |time|timeprecis
00007740: 69 6f 6e 7c 74 69 6d 65 75 6e 69 74 7c 74 72 61 ion|timeunit|tra
00007750: 6e 7c 74 72 61 6e 69 66 30 7c 74 72 61 6e 69 66 n|tranif0|tranif
00007760: 31 7c 74 72 69 7c 74 72 69 30 7c 74 72 69 31 7c 1|tri|tri0|tri1|
00007770: 74 72 69 61 6e 64 7c 74 72 69 6f 72 7c 74 72 69 triand|trior|tri
00007780: 72 65 67 7c 74 79 70 65 7c 74 79 70 65 64 65 66 reg|type|typedef
00007790: 7c 75 6e 69 6f 6e 7c 75 6e 69 71 75 65 7c 75 6e |union|unique|un
000077a0: 73 69 67 6e 65 64 7c 75 73 65 7c 75 77 69 72 65 signed|use|uwire
000077b0: 7c 76 61 72 7c 76 65 63 74 6f 72 65 64 7c 76 69 |var|vectored|vi
000077c0: 72 74 75 61 6c 7c 76 6f 69 64 7c 77 61 69 74 7c rtual|void|wait|
000077d0: 77 61 69 74 5f 6f 72 64 65 72 7c 77 61 6e 64 7c wait_order|wand|
000077e0: 77 65 61 6b 30 7c 77 65 61 6b 31 7c 77 68 69 6c weak0|weak1|whil
000077f0: 65 7c 77 69 6c 64 63 61 72 64 7c 77 69 72 65 7c e|wildcard|wire|
00007800: 77 69 74 68 7c 77 69 74 68 69 6e 7c 77 6f 72 7c with|within|wor|
00007810: 78 6e 6f 72 7c 78 6f 72 29 5c 62 2f 2c 69 6d 70 xnor|xor)\b/,imp
00007820: 6f 72 74 61 6e 74 3a 2f 5c 62 28 3f 3a 61 6c 77 ortant:/\b(?:alw
00007830: 61 79 73 7c 61 6c 77 61 79 73 5f 63 6f 6d 62 7c ays|always_comb|
00007840: 61 6c 77 61 79 73 5f 66 66 7c 61 6c 77 61 79 73 always_ff|always
00007850: 5f 6c 61 74 63 68 29 5c 62 28 3f 3a 20 2a 40 29 _latch)\b(?: *@)
00007860: 3f 2f 2c 6e 75 6d 62 65 72 3a 2f 5c 42 23 23 3f ?/,number:/\B##?
00007870: 5c 64 2b 7c 28 3f 3a 5c 62 5c 64 2b 29 3f 27 5b \d+|(?:\b\d+)?'[
00007880: 6f 64 62 68 5d 20 3f 5b 5c 64 61 2d 66 7a 78 5f odbh] ?[\da-fzx_
00007890: 3f 5d 2b 7c 5c 62 28 3f 3a 5c 64 2a 5b 2e 5f 5d ?]+|\b(?:\d*[._]
000078a0: 29 3f 5c 64 2b 28 3f 3a 65 5b 2d 2b 5d 3f 5c 64 )?\d+(?:e[-+]?\d
000078b0: 2b 29 3f 2f 69 2c 6f 70 65 72 61 74 6f 72 3a 2f +)?/i,operator:/
000078c0: 5b 2d 2b 7b 7d 5e 7e 25 2a 5c 2f 3f 3d 21 3c 3e [-+{}^~%*\/?=!<>
000078d0: 26 7c 5d 2b 2f 2c 70 75 6e 63 74 75 61 74 69 6f &|]+/,punctuatio
000078e0: 6e 3a 2f 5b 5b 5c 5d 3b 28 29 2c 2e 3a 5d 2f 7d n:/[[\];(),.:]/}
000078f0: 7d 65 2e 65 78 70 6f 72 74 73 3d 74 2c 74 2e 64 }e.exports=t,t.d
00007900: 69 73 70 6c 61 79 4e 61 6d 65 3d 22 76 65 72 69 isplayName="veri
00007910: 6c 6f 67 22 2c 74 2e 61 6c 69 61 73 65 73 3d 5b log",t.aliases=[
00007920: 5d 7d 2c 33 36 35 38 31 3a 66 75 6e 63 74 69 6f ]},36581:functio
00007930: 6e 28 65 29 7b 22 75 73 65 20 73 74 72 69 63 74 n(e){"use strict
00007940: 22 3b 66 75 6e 63 74 69 6f 6e 20 74 28 65 29 7b ";function t(e){
00007950: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 76 68 64 6c e.languages.vhdl
00007960: 3d 7b 63 6f 6d 6d 65 6e 74 3a 2f 2d 2d 2e 2b 2f ={comment:/--.+/
00007970: 2c 22 76 68 64 6c 2d 76 65 63 74 6f 72 73 22 3a ,"vhdl-vectors":
00007980: 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 5b 6f 78 62 {pattern:/\b[oxb
00007990: 5d 22 5b 5c 64 61 2d 66 5f 5d 2b 22 7c 22 5b 30 ]"[\da-f_]+"|"[0
000079a0: 31 75 78 7a 77 6c 68 2d 5d 2b 22 2f 69 2c 61 6c 1uxzwlh-]+"/i,al
000079b0: 69 61 73 3a 22 6e 75 6d 62 65 72 22 7d 2c 22 71 ias:"number"},"q
000079c0: 75 6f 74 65 64 2d 66 75 6e 63 74 69 6f 6e 22 3a uoted-function":
000079d0: 7b 70 61 74 74 65 72 6e 3a 2f 22 5c 53 2b 3f 22 {pattern:/"\S+?"
000079e0: 28 3f 3d 5c 28 29 2f 2c 61 6c 69 61 73 3a 22 66 (?=\()/,alias:"f
000079f0: 75 6e 63 74 69 6f 6e 22 7d 2c 73 74 72 69 6e 67 unction"},string
00007a00: 3a 2f 22 28 3f 3a 5b 5e 5c 5c 22 5c 72 5c 6e 5d :/"(?:[^\\"\r\n]
00007a10: 7c 5c 5c 28 3f 3a 5c 72 5c 6e 7c 5b 5c 73 5c 53 |\\(?:\r\n|[\s\S
00007a20: 5d 29 29 2a 22 2f 2c 63 6f 6e 73 74 61 6e 74 3a ]))*"/,constant:
00007a30: 2f 5c 62 28 3f 3a 6c 69 62 72 61 72 79 7c 75 73 /\b(?:library|us
00007a40: 65 29 5c 62 2f 69 2c 6b 65 79 77 6f 72 64 3a 2f e)\b/i,keyword:/
00007a50: 5c 62 28 3f 3a 27 61 63 74 69 76 65 7c 27 61 73 \b(?:'active|'as
00007a60: 63 65 6e 64 69 6e 67 7c 27 62 61 73 65 7c 27 64 cending|'base|'d
00007a70: 65 6c 61 79 65 64 7c 27 64 72 69 76 69 6e 67 7c elayed|'driving|
00007a80: 27 64 72 69 76 69 6e 67 5f 76 61 6c 75 65 7c 27 'driving_value|'
00007a90: 65 76 65 6e 74 7c 27 68 69 67 68 7c 27 69 6d 61 event|'high|'ima
00007aa0: 67 65 7c 27 69 6e 73 74 61 6e 63 65 5f 6e 61 6d ge|'instance_nam
00007ab0: 65 7c 27 6c 61 73 74 5f 61 63 74 69 76 65 7c 27 e|'last_active|'
00007ac0: 6c 61 73 74 5f 65 76 65 6e 74 7c 27 6c 61 73 74 last_event|'last
00007ad0: 5f 76 61 6c 75 65 7c 27 6c 65 66 74 7c 27 6c 65 _value|'left|'le
00007ae0: 66 74 6f 66 7c 27 6c 65 6e 67 74 68 7c 27 6c 6f ftof|'length|'lo
00007af0: 77 7c 27 70 61 74 68 5f 6e 61 6d 65 7c 27 70 6f w|'path_name|'po
00007b00: 73 7c 27 70 72 65 64 7c 27 71 75 69 65 74 7c 27 s|'pred|'quiet|'
00007b10: 72 61 6e 67 65 7c 27 72 65 76 65 72 73 65 5f 72 range|'reverse_r
00007b20: 61 6e 67 65 7c 27 72 69 67 68 74 7c 27 72 69 67 ange|'right|'rig
00007b30: 68 74 6f 66 7c 27 73 69 6d 70 6c 65 5f 6e 61 6d htof|'simple_nam
00007b40: 65 7c 27 73 74 61 62 6c 65 7c 27 73 75 63 63 7c e|'stable|'succ|
00007b50: 27 74 72 61 6e 73 61 63 74 69 6f 6e 7c 27 76 61 'transaction|'va
00007b60: 6c 7c 27 76 61 6c 75 65 7c 61 63 63 65 73 73 7c l|'value|access|
00007b70: 61 66 74 65 72 7c 61 6c 69 61 73 7c 61 6c 6c 7c after|alias|all|
00007b80: 61 72 63 68 69 74 65 63 74 75 72 65 7c 61 72 72 architecture|arr
00007b90: 61 79 7c 61 73 73 65 72 74 7c 61 74 74 72 69 62 ay|assert|attrib
00007ba0: 75 74 65 7c 62 65 67 69 6e 7c 62 6c 6f 63 6b 7c ute|begin|block|
00007bb0: 62 6f 64 79 7c 62 75 66 66 65 72 7c 62 75 73 7c body|buffer|bus|
00007bc0: 63 61 73 65 7c 63 6f 6d 70 6f 6e 65 6e 74 7c 63 case|component|c
00007bd0: 6f 6e 66 69 67 75 72 61 74 69 6f 6e 7c 63 6f 6e onfiguration|con
00007be0: 73 74 61 6e 74 7c 64 69 73 63 6f 6e 6e 65 63 74 stant|disconnect
00007bf0: 7c 64 6f 77 6e 74 6f 7c 65 6c 73 65 7c 65 6c 73 |downto|else|els
00007c00: 69 66 7c 65 6e 64 7c 65 6e 74 69 74 79 7c 65 78 if|end|entity|ex
00007c10: 69 74 7c 66 69 6c 65 7c 66 6f 72 7c 66 75 6e 63 it|file|for|func
00007c20: 74 69 6f 6e 7c 67 65 6e 65 72 61 74 65 7c 67 65 tion|generate|ge
00007c30: 6e 65 72 69 63 7c 67 72 6f 75 70 7c 67 75 61 72 neric|group|guar
00007c40: 64 65 64 7c 69 66 7c 69 6d 70 75 72 65 7c 69 6e ded|if|impure|in
00007c50: 7c 69 6e 65 72 74 69 61 6c 7c 69 6e 6f 75 74 7c |inertial|inout|
00007c60: 69 73 7c 6c 61 62 65 6c 7c 6c 69 62 72 61 72 79 is|label|library
00007c70: 7c 6c 69 6e 6b 61 67 65 7c 6c 69 74 65 72 61 6c |linkage|literal
00007c80: 7c 6c 6f 6f 70 7c 6d 61 70 7c 6e 65 77 7c 6e 65 |loop|map|new|ne
00007c90: 78 74 7c 6e 75 6c 6c 7c 6f 66 7c 6f 6e 7c 6f 70 xt|null|of|on|op
00007ca0: 65 6e 7c 6f 74 68 65 72 73 7c 6f 75 74 7c 70 61 en|others|out|pa
00007cb0: 63 6b 61 67 65 7c 70 6f 72 74 7c 70 6f 73 74 70 ckage|port|postp
00007cc0: 6f 6e 65 64 7c 70 72 6f 63 65 64 75 72 65 7c 70 oned|procedure|p
00007cd0: 72 6f 63 65 73 73 7c 70 75 72 65 7c 72 61 6e 67 rocess|pure|rang
00007ce0: 65 7c 72 65 63 6f 72 64 7c 72 65 67 69 73 74 65 e|record|registe
00007cf0: 72 7c 72 65 6a 65 63 74 7c 72 65 70 6f 72 74 7c r|reject|report|
00007d00: 72 65 74 75 72 6e 7c 73 65 6c 65 63 74 7c 73 65 return|select|se
00007d10: 76 65 72 69 74 79 7c 73 68 61 72 65 64 7c 73 69 verity|shared|si
00007d20: 67 6e 61 6c 7c 73 75 62 74 79 70 65 7c 74 68 65 gnal|subtype|the
00007d30: 6e 7c 74 6f 7c 74 72 61 6e 73 70 6f 72 74 7c 74 n|to|transport|t
00007d40: 79 70 65 7c 75 6e 61 66 66 65 63 74 65 64 7c 75 ype|unaffected|u
00007d50: 6e 69 74 73 7c 75 6e 74 69 6c 7c 75 73 65 7c 76 nits|until|use|v
00007d60: 61 72 69 61 62 6c 65 7c 77 61 69 74 7c 77 68 65 ariable|wait|whe
00007d70: 6e 7c 77 68 69 6c 65 7c 77 69 74 68 29 5c 62 2f n|while|with)\b/
00007d80: 69 2c 62 6f 6f 6c 65 61 6e 3a 2f 5c 62 28 3f 3a i,boolean:/\b(?:
00007d90: 66 61 6c 73 65 7c 74 72 75 65 29 5c 62 2f 69 2c false|true)\b/i,
00007da0: 66 75 6e 63 74 69 6f 6e 3a 2f 5c 77 2b 28 3f 3d function:/\w+(?=
00007db0: 5c 28 29 2f 2c 6e 75 6d 62 65 72 3a 2f 27 5b 30 \()/,number:/'[0
00007dc0: 31 75 78 7a 77 6c 68 2d 5d 27 7c 5c 62 28 3f 3a 1uxzwlh-]'|\b(?:
00007dd0: 5c 64 2b 23 5b 5c 64 61 2d 66 5f 2e 5d 2b 23 7c \d+#[\da-f_.]+#|
00007de0: 5c 64 5b 5c 64 5f 2e 5d 2a 29 28 3f 3a 65 5b 2d \d[\d_.]*)(?:e[-
00007df0: 2b 5d 3f 5c 64 2b 29 3f 2f 69 2c 6f 70 65 72 61 +]?\d+)?/i,opera
00007e00: 74 6f 72 3a 2f 5b 3c 3e 5d 3d 3f 7c 3a 3d 7c 5b tor:/[<>]=?|:=|[
00007e10: 2d 2b 2a 2f 26 3d 5d 7c 5c 62 28 3f 3a 61 62 73 -+*/&=]|\b(?:abs
00007e20: 7c 61 6e 64 7c 6d 6f 64 7c 6e 61 6e 64 7c 6e 6f |and|mod|nand|no
00007e30: 72 7c 6e 6f 74 7c 6f 72 7c 72 65 6d 7c 72 6f 6c r|not|or|rem|rol
00007e40: 7c 72 6f 72 7c 73 6c 61 7c 73 6c 6c 7c 73 72 61 |ror|sla|sll|sra
00007e50: 7c 73 72 6c 7c 78 6e 6f 72 7c 78 6f 72 29 5c 62 |srl|xnor|xor)\b
00007e60: 2f 69 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f /i,punctuation:/
00007e70: 5b 7b 7d 5b 5c 5d 3b 28 29 2c 2e 3a 5d 2f 7d 7d [{}[\];(),.:]/}}
00007e80: 65 2e 65 78 70 6f 72 74 73 3d 74 2c 74 2e 64 69 e.exports=t,t.di
00007e90: 73 70 6c 61 79 4e 61 6d 65 3d 22 76 68 64 6c 22 splayName="vhdl"
00007ea0: 2c 74 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d 2c 34 ,t.aliases=[]},4
00007eb0: 37 32 38 31 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7281:function(e)
00007ec0: 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b 66 75 {"use strict";fu
00007ed0: 6e 63 74 69 6f 6e 20 74 28 65 29 7b 65 2e 6c 61 nction t(e){e.la
00007ee0: 6e 67 75 61 67 65 73 2e 76 69 6d 3d 7b 73 74 72 nguages.vim={str
00007ef0: 69 6e 67 3a 2f 22 28 3f 3a 5b 5e 22 5c 5c 5c 72 ing:/"(?:[^"\\\r
00007f00: 5c 6e 5d 7c 5c 5c 2e 29 2a 22 7c 27 28 3f 3a 5b \n]|\\.)*"|'(?:[
00007f10: 5e 27 5c 72 5c 6e 5d 7c 27 27 29 2a 27 2f 2c 63 ^'\r\n]|'')*'/,c
00007f20: 6f 6d 6d 65 6e 74 3a 2f 22 2e 2a 2f 2c 66 75 6e omment:/".*/,fun
00007f30: 63 74 69 6f 6e 3a 2f 5c 62 5c 77 2b 28 3f 3d 5c ction:/\b\w+(?=\
00007f40: 28 29 2f 2c 6b 65 79 77 6f 72 64 3a 2f 5c 62 28 ()/,keyword:/\b(
00007f50: 3f 3a 4e 7c 4e 65 78 74 7c 50 7c 50 72 69 6e 74 ?:N|Next|P|Print
00007f60: 7c 58 7c 58 4d 4c 65 6e 74 7c 58 4d 4c 6e 73 7c |X|XMLent|XMLns|
00007f70: 61 62 7c 61 62 62 72 65 76 69 61 74 65 7c 61 62 ab|abbreviate|ab
00007f80: 63 7c 61 62 63 6c 65 61 72 7c 61 62 6f 7c 61 62 c|abclear|abo|ab
00007f90: 6f 76 65 6c 65 66 74 7c 61 6c 7c 61 6c 6c 7c 61 oveleft|al|all|a
00007fa0: 72 7c 61 72 67 61 7c 61 72 67 61 64 64 7c 61 72 r|arga|argadd|ar
00007fb0: 67 64 7c 61 72 67 64 65 6c 65 74 65 7c 61 72 67 gd|argdelete|arg
00007fc0: 64 6f 7c 61 72 67 65 7c 61 72 67 65 64 69 74 7c do|arge|argedit|
00007fd0: 61 72 67 67 7c 61 72 67 67 6c 6f 62 61 6c 7c 61 argg|argglobal|a
00007fe0: 72 67 6c 7c 61 72 67 6c 6f 63 61 6c 7c 61 72 67 rgl|arglocal|arg
00007ff0: 73 7c 61 72 67 75 7c 61 72 67 75 6d 65 6e 74 7c s|argu|argument|
00008000: 61 73 7c 61 73 63 69 69 7c 62 7c 62 4e 7c 62 4e as|ascii|b|bN|bN
00008010: 65 78 74 7c 62 61 7c 62 61 64 7c 62 61 64 64 7c ext|ba|bad|badd|
00008020: 62 61 6c 6c 7c 62 64 7c 62 64 65 6c 65 74 65 7c ball|bd|bdelete|
00008030: 62 65 7c 62 65 6c 7c 62 65 6c 6f 77 72 69 67 68 be|bel|belowrigh
00008040: 74 7c 62 66 7c 62 66 69 72 73 74 7c 62 6c 7c 62 t|bf|bfirst|bl|b
00008050: 6c 61 73 74 7c 62 6d 7c 62 6d 6f 64 69 66 69 65 last|bm|bmodifie
00008060: 64 7c 62 6e 7c 62 6e 65 78 74 7c 62 6f 7c 62 6f d|bn|bnext|bo|bo
00008070: 74 72 69 67 68 74 7c 62 70 7c 62 70 72 65 76 69 tright|bp|bprevi
00008080: 6f 75 73 7c 62 72 7c 62 72 65 61 7c 62 72 65 61 ous|br|brea|brea
00008090: 6b 7c 62 72 65 61 6b 61 7c 62 72 65 61 6b 61 64 k|breaka|breakad
000080a0: 64 7c 62 72 65 61 6b 64 7c 62 72 65 61 6b 64 65 d|breakd|breakde
000080b0: 6c 7c 62 72 65 61 6b 6c 7c 62 72 65 61 6b 6c 69 l|breakl|breakli
000080c0: 73 74 7c 62 72 65 77 69 6e 64 7c 62 72 6f 7c 62 st|brewind|bro|b
000080d0: 72 6f 77 73 65 7c 62 75 66 64 6f 7c 62 75 66 66 rowse|bufdo|buff
000080e0: 65 72 7c 62 75 66 66 65 72 73 7c 62 75 6e 7c 62 er|buffers|bun|b
000080f0: 75 6e 6c 6f 61 64 7c 62 77 7c 62 77 69 70 65 6f unload|bw|bwipeo
00008100: 75 74 7c 63 7c 63 4e 7c 63 4e 65 78 74 7c 63 4e ut|c|cN|cNext|cN
00008110: 66 63 4e 66 69 6c 65 7c 63 61 7c 63 61 62 62 72 fcNfile|ca|cabbr
00008120: 65 76 7c 63 61 62 63 7c 63 61 62 63 6c 65 61 72 ev|cabc|cabclear
00008130: 7c 63 61 64 7c 63 61 64 64 62 7c 63 61 64 64 62 |cad|caddb|caddb
00008140: 75 66 66 65 72 7c 63 61 64 64 65 78 70 72 7c 63 uffer|caddexpr|c
00008150: 61 64 64 66 7c 63 61 64 64 66 69 6c 65 7c 63 61 addf|caddfile|ca
00008160: 6c 7c 63 61 6c 6c 7c 63 61 74 7c 63 61 74 63 68 l|call|cat|catch
00008170: 7c 63 62 7c 63 62 75 66 66 65 72 7c 63 63 7c 63 |cb|cbuffer|cc|c
00008180: 63 6c 7c 63 63 6c 6f 73 65 7c 63 64 7c 63 65 7c cl|cclose|cd|ce|
00008190: 63 65 6e 74 65 72 7c 63 65 78 7c 63 65 78 70 72 center|cex|cexpr
000081a0: 7c 63 66 7c 63 66 69 6c 65 7c 63 66 69 72 7c 63 |cf|cfile|cfir|c
000081b0: 66 69 72 73 74 7c 63 67 7c 63 67 65 74 62 7c 63 first|cg|cgetb|c
000081c0: 67 65 74 62 75 66 66 65 72 7c 63 67 65 74 65 7c getbuffer|cgete|
000081d0: 63 67 65 74 65 78 70 72 7c 63 67 65 74 66 69 6c cgetexpr|cgetfil
000081e0: 65 7c 63 68 61 6e 67 65 7c 63 68 61 6e 67 65 73 e|change|changes
000081f0: 7c 63 68 64 7c 63 68 64 69 72 7c 63 68 65 7c 63 |chd|chdir|che|c
00008200: 68 65 63 6b 70 61 74 68 7c 63 68 65 63 6b 74 7c heckpath|checkt|
00008210: 63 68 65 63 6b 74 69 6d 65 7c 63 6c 7c 63 6c 61 checktime|cl|cla
00008220: 7c 63 6c 61 73 74 7c 63 6c 69 73 74 7c 63 6c 6f |clast|clist|clo
00008230: 7c 63 6c 6f 73 65 7c 63 6d 61 70 63 7c 63 6d 61 |close|cmapc|cma
00008240: 70 63 6c 65 61 72 7c 63 6e 7c 63 6e 65 77 7c 63 pclear|cn|cnew|c
00008250: 6e 65 77 65 72 7c 63 6e 65 78 74 7c 63 6e 66 7c newer|cnext|cnf|
00008260: 63 6e 66 69 6c 65 7c 63 6e 6f 72 65 61 7c 63 6e cnfile|cnorea|cn
00008270: 6f 72 65 61 62 62 72 65 76 7c 63 6f 7c 63 6f 6c oreabbrev|co|col
00008280: 7c 63 6f 6c 64 65 72 7c 63 6f 6c 6f 7c 63 6f 6c |colder|colo|col
00008290: 6f 72 73 63 68 65 6d 65 7c 63 6f 6d 63 7c 63 6f orscheme|comc|co
000082a0: 6d 63 6c 65 61 72 7c 63 6f 6d 70 7c 63 6f 6d 70 mclear|comp|comp
000082b0: 69 6c 65 72 7c 63 6f 6e 7c 63 6f 6e 66 7c 63 6f iler|con|conf|co
000082c0: 6e 66 69 72 6d 7c 63 6f 6e 74 69 6e 75 65 7c 63 nfirm|continue|c
000082d0: 6f 70 65 7c 63 6f 70 65 6e 7c 63 6f 70 79 7c 63 ope|copen|copy|c
000082e0: 70 7c 63 70 66 7c 63 70 66 69 6c 65 7c 63 70 72 p|cpf|cpfile|cpr
000082f0: 65 76 69 6f 75 73 7c 63 71 7c 63 71 75 69 74 7c evious|cq|cquit|
00008300: 63 72 7c 63 72 65 77 69 6e 64 7c 63 75 7c 63 75 cr|crewind|cu|cu
00008310: 6e 61 7c 63 75 6e 61 62 62 72 65 76 7c 63 75 6e na|cunabbrev|cun
00008320: 6d 61 70 7c 63 77 7c 63 77 69 6e 64 6f 77 7c 64 map|cw|cwindow|d
00008330: 7c 64 65 62 75 67 67 7c 64 65 62 75 67 67 72 65 |debugg|debuggre
00008340: 65 64 79 7c 64 65 6c 63 7c 64 65 6c 63 6f 6d 6d edy|delc|delcomm
00008350: 61 6e 64 7c 64 65 6c 65 74 65 7c 64 65 6c 66 7c and|delete|delf|
00008360: 64 65 6c 66 75 6e 63 74 69 6f 6e 7c 64 65 6c 6d delfunction|delm
00008370: 7c 64 65 6c 6d 61 72 6b 73 7c 64 69 7c 64 69 66 |delmarks|di|dif
00008380: 66 67 7c 64 69 66 66 67 65 74 7c 64 69 66 66 6f fg|diffget|diffo
00008390: 66 66 7c 64 69 66 66 70 61 74 63 68 7c 64 69 66 ff|diffpatch|dif
000083a0: 66 70 75 7c 64 69 66 66 70 75 74 7c 64 69 66 66 fpu|diffput|diff
000083b0: 73 70 6c 69 74 7c 64 69 66 66 74 68 69 73 7c 64 split|diffthis|d
000083c0: 69 66 66 75 7c 64 69 66 66 75 70 64 61 74 65 7c iffu|diffupdate|
000083d0: 64 69 67 7c 64 69 67 72 61 70 68 73 7c 64 69 73 dig|digraphs|dis
000083e0: 70 6c 61 79 7c 64 6a 7c 64 6a 75 6d 70 7c 64 6c play|dj|djump|dl
000083f0: 7c 64 6c 69 73 74 7c 64 72 7c 64 72 6f 70 7c 64 |dlist|dr|drop|d
00008400: 73 7c 64 73 65 61 72 63 68 7c 64 73 70 7c 64 73 s|dsearch|dsp|ds
00008410: 70 6c 69 74 7c 65 7c 65 61 72 6c 69 65 72 7c 65 plit|e|earlier|e
00008420: 63 68 6f 65 7c 65 63 68 6f 65 72 72 7c 65 63 68 choe|echoerr|ech
00008430: 6f 6d 7c 65 63 68 6f 6d 73 67 7c 65 63 68 6f 6e om|echomsg|echon
00008440: 7c 65 64 69 74 7c 65 6c 7c 65 6c 73 65 7c 65 6c |edit|el|else|el
00008450: 73 65 69 7c 65 6c 73 65 69 66 7c 65 6d 7c 65 6d sei|elseif|em|em
00008460: 65 6e 75 7c 65 6e 7c 65 6e 64 66 7c 65 6e 64 66 enu|en|endf|endf
00008470: 6f 7c 65 6e 64 66 6f 72 7c 65 6e 64 66 75 6e 7c o|endfor|endfun|
00008480: 65 6e 64 66 75 6e 63 74 69 6f 6e 7c 65 6e 64 69 endfunction|endi
00008490: 66 7c 65 6e 64 74 7c 65 6e 64 74 72 79 7c 65 6e f|endt|endtry|en
000084a0: 64 77 7c 65 6e 64 77 68 69 6c 65 7c 65 6e 65 7c dw|endwhile|ene|
000084b0: 65 6e 65 77 7c 65 78 7c 65 78 69 7c 65 78 69 74 enew|ex|exi|exit
000084c0: 7c 65 78 75 7c 65 78 75 73 61 67 65 7c 66 7c 66 |exu|exusage|f|f
000084d0: 69 6c 65 7c 66 69 6c 65 73 7c 66 69 6c 65 74 79 ile|files|filety
000084e0: 70 65 7c 66 69 6e 7c 66 69 6e 61 7c 66 69 6e 61 pe|fin|fina|fina
000084f0: 6c 6c 79 7c 66 69 6e 64 7c 66 69 6e 69 7c 66 69 lly|find|fini|fi
00008500: 6e 69 73 68 7c 66 69 72 7c 66 69 72 73 74 7c 66 nish|fir|first|f
00008510: 69 78 7c 66 69 78 64 65 6c 7c 66 6f 7c 66 6f 6c ix|fixdel|fo|fol
00008520: 64 7c 66 6f 6c 64 63 7c 66 6f 6c 64 63 6c 6f 73 d|foldc|foldclos
00008530: 65 7c 66 6f 6c 64 64 7c 66 6f 6c 64 64 6f 63 7c e|foldd|folddoc|
00008540: 66 6f 6c 64 64 6f 63 6c 6f 73 65 64 7c 66 6f 6c folddoclosed|fol
00008550: 64 64 6f 6f 70 65 6e 7c 66 6f 6c 64 6f 7c 66 6f ddoopen|foldo|fo
00008560: 6c 64 6f 70 65 6e 7c 66 6f 72 7c 66 75 7c 66 75 ldopen|for|fu|fu
00008570: 6e 7c 66 75 6e 63 74 69 6f 6e 7c 67 6f 7c 67 6f n|function|go|go
00008580: 74 6f 7c 67 72 7c 67 72 65 70 7c 67 72 65 70 61 to|gr|grep|grepa
00008590: 7c 67 72 65 70 61 64 64 7c 68 7c 68 61 7c 68 61 |grepadd|h|ha|ha
000085a0: 72 64 63 6f 70 79 7c 68 65 6c 70 7c 68 65 6c 70 rdcopy|help|help
000085b0: 66 7c 68 65 6c 70 66 69 6e 64 7c 68 65 6c 70 67 f|helpfind|helpg
000085c0: 7c 68 65 6c 70 67 72 65 70 7c 68 65 6c 70 74 7c |helpgrep|helpt|
000085d0: 68 65 6c 70 74 61 67 73 7c 68 69 64 7c 68 69 64 helptags|hid|hid
000085e0: 65 7c 68 69 73 7c 68 69 73 74 6f 72 79 7c 69 61 e|his|history|ia
000085f0: 7c 69 61 62 62 72 65 76 7c 69 61 62 63 7c 69 61 |iabbrev|iabc|ia
00008600: 62 63 6c 65 61 72 7c 69 66 7c 69 6a 7c 69 6a 75 bclear|if|ij|iju
00008610: 6d 70 7c 69 6c 7c 69 6c 69 73 74 7c 69 6d 61 70 mp|il|ilist|imap
00008620: 63 7c 69 6d 61 70 63 6c 65 61 72 7c 69 6e 7c 69 c|imapclear|in|i
00008630: 6e 6f 72 65 61 7c 69 6e 6f 72 65 61 62 62 72 65 norea|inoreabbre
00008640: 76 7c 69 73 65 61 72 63 68 7c 69 73 70 7c 69 73 v|isearch|isp|is
00008650: 70 6c 69 74 7c 69 75 7c 69 75 6e 61 7c 69 75 6e plit|iu|iuna|iun
00008660: 61 62 62 72 65 76 7c 69 75 6e 6d 61 70 7c 6a 7c abbrev|iunmap|j|
00008670: 6a 6f 69 6e 7c 6a 75 7c 6a 75 6d 70 73 7c 6b 7c join|ju|jumps|k|
00008680: 6b 65 65 7c 6b 65 65 70 61 6c 74 7c 6b 65 65 70 kee|keepalt|keep
00008690: 6a 7c 6b 65 65 70 6a 75 6d 70 73 7c 6b 65 65 70 j|keepjumps|keep
000086a0: 6d 61 72 6b 73 7c 6c 7c 6c 4e 7c 6c 4e 65 78 74 marks|l|lN|lNext
000086b0: 7c 6c 4e 66 7c 6c 4e 66 69 6c 65 7c 6c 61 7c 6c |lNf|lNfile|la|l
000086c0: 61 64 7c 6c 61 64 64 62 7c 6c 61 64 64 62 75 66 ad|laddb|laddbuf
000086d0: 66 65 72 7c 6c 61 64 64 65 78 70 72 7c 6c 61 64 fer|laddexpr|lad
000086e0: 64 66 7c 6c 61 64 64 66 69 6c 65 7c 6c 61 6e 7c df|laddfile|lan|
000086f0: 6c 61 6e 67 75 61 67 65 7c 6c 61 73 74 7c 6c 61 language|last|la
00008700: 74 65 72 7c 6c 62 7c 6c 62 75 66 66 65 72 7c 6c ter|lb|lbuffer|l
00008710: 63 7c 6c 63 64 7c 6c 63 68 7c 6c 63 68 64 69 72 c|lcd|lch|lchdir
00008720: 7c 6c 63 6c 7c 6c 63 6c 6f 73 65 7c 6c 65 66 74 |lcl|lclose|left
00008730: 7c 6c 65 66 74 61 7c 6c 65 66 74 61 62 6f 76 65 |lefta|leftabove
00008740: 7c 6c 65 74 7c 6c 65 78 7c 6c 65 78 70 72 7c 6c |let|lex|lexpr|l
00008750: 66 7c 6c 66 69 6c 65 7c 6c 66 69 72 7c 6c 66 69 f|lfile|lfir|lfi
00008760: 72 73 74 7c 6c 67 7c 6c 67 65 74 62 7c 6c 67 65 rst|lg|lgetb|lge
00008770: 74 62 75 66 66 65 72 7c 6c 67 65 74 65 7c 6c 67 tbuffer|lgete|lg
00008780: 65 74 65 78 70 72 7c 6c 67 65 74 66 69 6c 65 7c etexpr|lgetfile|
00008790: 6c 67 72 7c 6c 67 72 65 70 7c 6c 67 72 65 70 61 lgr|lgrep|lgrepa
000087a0: 7c 6c 67 72 65 70 61 64 64 7c 6c 68 7c 6c 68 65 |lgrepadd|lh|lhe
000087b0: 6c 70 67 72 65 70 7c 6c 69 73 74 7c 6c 6c 7c 6c lpgrep|list|ll|l
000087c0: 6c 61 7c 6c 6c 61 73 74 7c 6c 6c 69 7c 6c 6c 69 la|llast|lli|lli
000087d0: 73 74 7c 6c 6d 7c 6c 6d 61 6b 7c 6c 6d 61 6b 65 st|lm|lmak|lmake
000087e0: 7c 6c 6d 61 70 7c 6c 6d 61 70 63 7c 6c 6d 61 70 |lmap|lmapc|lmap
000087f0: 63 6c 65 61 72 7c 6c 6e 7c 6c 6e 65 7c 6c 6e 65 clear|ln|lne|lne
00008800: 77 7c 6c 6e 65 77 65 72 7c 6c 6e 65 78 74 7c 6c w|lnewer|lnext|l
00008810: 6e 66 7c 6c 6e 66 69 6c 65 7c 6c 6e 6f 72 65 6d nf|lnfile|lnorem
00008820: 61 70 7c 6c 6f 7c 6c 6f 61 64 76 69 65 77 7c 6c ap|lo|loadview|l
00008830: 6f 63 7c 6c 6f 63 6b 6d 61 72 6b 73 7c 6c 6f 63 oc|lockmarks|loc
00008840: 6b 76 7c 6c 6f 63 6b 76 61 72 7c 6c 6f 6c 7c 6c kv|lockvar|lol|l
00008850: 6f 6c 64 65 72 7c 6c 6f 70 7c 6c 6f 70 65 6e 7c older|lop|lopen|
00008860: 6c 70 7c 6c 70 66 7c 6c 70 66 69 6c 65 7c 6c 70 lp|lpf|lpfile|lp
00008870: 72 65 76 69 6f 75 73 7c 6c 72 7c 6c 72 65 77 69 revious|lr|lrewi
00008880: 6e 64 7c 6c 73 7c 6c 74 7c 6c 74 61 67 7c 6c 75 nd|ls|lt|ltag|lu
00008890: 7c 6c 75 6e 6d 61 70 7c 6c 76 7c 6c 76 69 6d 67 |lunmap|lv|lvimg
000088a0: 72 65 70 7c 6c 76 69 6d 67 72 65 70 61 7c 6c 76 rep|lvimgrepa|lv
000088b0: 69 6d 67 72 65 70 61 64 64 7c 6c 77 7c 6c 77 69 imgrepadd|lw|lwi
000088c0: 6e 64 6f 77 7c 6d 7c 6d 61 7c 6d 61 6b 7c 6d 61 ndow|m|ma|mak|ma
000088d0: 6b 65 7c 6d 61 72 6b 7c 6d 61 72 6b 73 7c 6d 61 ke|mark|marks|ma
000088e0: 74 7c 6d 61 74 63 68 7c 6d 65 6e 75 74 7c 6d 65 t|match|menut|me
000088f0: 6e 75 74 72 61 6e 73 6c 61 74 65 7c 6d 6b 7c 6d nutranslate|mk|m
00008900: 6b 65 78 72 63 7c 6d 6b 73 7c 6d 6b 73 65 73 73 kexrc|mks|mksess
00008910: 69 6f 6e 7c 6d 6b 73 70 7c 6d 6b 73 70 65 6c 6c ion|mksp|mkspell
00008920: 7c 6d 6b 76 7c 6d 6b 76 69 65 7c 6d 6b 76 69 65 |mkv|mkvie|mkvie
00008930: 77 7c 6d 6b 76 69 6d 72 63 7c 6d 6f 64 7c 6d 6f w|mkvimrc|mod|mo
00008940: 64 65 7c 6d 6f 76 65 7c 6d 7a 7c 6d 7a 66 7c 6d de|move|mz|mzf|m
00008950: 7a 66 69 6c 65 7c 6d 7a 73 63 68 65 6d 65 7c 6e zfile|mzscheme|n
00008960: 7c 6e 62 6b 65 79 7c 6e 65 77 7c 6e 65 78 74 7c |nbkey|new|next|
00008970: 6e 6d 61 70 63 7c 6e 6d 61 70 63 6c 65 61 72 7c nmapc|nmapclear|
00008980: 6e 6f 68 7c 6e 6f 68 6c 73 65 61 72 63 68 7c 6e noh|nohlsearch|n
00008990: 6f 72 65 61 7c 6e 6f 72 65 61 62 62 72 65 76 7c orea|noreabbrev|
000089a0: 6e 75 7c 6e 75 6d 62 65 72 7c 6e 75 6e 7c 6e 75 nu|number|nun|nu
000089b0: 6e 6d 61 70 7c 6f 7c 6f 6d 61 70 63 7c 6f 6d 61 nmap|o|omapc|oma
000089c0: 70 63 6c 65 61 72 7c 6f 6e 7c 6f 6e 6c 79 7c 6f pclear|on|only|o
000089d0: 70 65 6e 7c 6f 70 74 7c 6f 70 74 69 6f 6e 73 7c pen|opt|options|
000089e0: 6f 75 7c 6f 75 6e 6d 61 70 7c 70 7c 70 63 7c 70 ou|ounmap|p|pc|p
000089f0: 63 6c 6f 73 65 7c 70 65 7c 70 65 64 7c 70 65 64 close|pe|ped|ped
00008a00: 69 74 7c 70 65 72 6c 7c 70 65 72 6c 64 7c 70 65 it|perl|perld|pe
00008a10: 72 6c 64 6f 7c 70 6f 7c 70 6f 70 7c 70 6f 70 75 rldo|po|pop|popu
00008a20: 7c 70 6f 70 75 70 7c 70 70 7c 70 70 6f 70 7c 70 |popup|pp|ppop|p
00008a30: 72 65 7c 70 72 65 73 65 72 76 65 7c 70 72 65 76 re|preserve|prev
00008a40: 7c 70 72 65 76 69 6f 75 73 7c 70 72 69 6e 74 7c |previous|print|
00008a50: 70 72 6f 66 7c 70 72 6f 66 64 7c 70 72 6f 66 64 prof|profd|profd
00008a60: 65 6c 7c 70 72 6f 66 69 6c 65 7c 70 72 6f 6d 70 el|profile|promp
00008a70: 74 66 7c 70 72 6f 6d 70 74 66 69 6e 64 7c 70 72 tf|promptfind|pr
00008a80: 6f 6d 70 74 72 7c 70 72 6f 6d 70 74 72 65 70 6c omptr|promptrepl
00008a90: 7c 70 73 7c 70 73 65 61 72 63 68 7c 70 74 4e 7c |ps|psearch|ptN|
00008aa0: 70 74 4e 65 78 74 7c 70 74 61 7c 70 74 61 67 7c ptNext|pta|ptag|
00008ab0: 70 74 66 7c 70 74 66 69 72 73 74 7c 70 74 6a 7c ptf|ptfirst|ptj|
00008ac0: 70 74 6a 75 6d 70 7c 70 74 6c 7c 70 74 6c 61 73 ptjump|ptl|ptlas
00008ad0: 74 7c 70 74 6e 7c 70 74 6e 65 78 74 7c 70 74 70 t|ptn|ptnext|ptp
00008ae0: 7c 70 74 70 72 65 76 69 6f 75 73 7c 70 74 72 7c |ptprevious|ptr|
00008af0: 70 74 72 65 77 69 6e 64 7c 70 74 73 7c 70 74 73 ptrewind|pts|pts
00008b00: 65 6c 65 63 74 7c 70 75 7c 70 75 74 7c 70 77 7c elect|pu|put|pw|
00008b10: 70 77 64 7c 70 79 7c 70 79 66 7c 70 79 66 69 6c pwd|py|pyf|pyfil
00008b20: 65 7c 70 79 74 68 6f 6e 7c 71 7c 71 61 7c 71 61 e|python|q|qa|qa
00008b30: 6c 6c 7c 71 75 69 74 7c 71 75 69 74 61 7c 71 75 ll|quit|quita|qu
00008b40: 69 74 61 6c 6c 7c 72 7c 72 65 61 64 7c 72 65 63 itall|r|read|rec
00008b50: 7c 72 65 63 6f 76 65 72 7c 72 65 64 7c 72 65 64 |recover|red|red
00008b60: 69 7c 72 65 64 69 72 7c 72 65 64 6f 7c 72 65 64 i|redir|redo|red
00008b70: 72 7c 72 65 64 72 61 77 7c 72 65 64 72 61 77 73 r|redraw|redraws
00008b80: 7c 72 65 64 72 61 77 73 74 61 74 75 73 7c 72 65 |redrawstatus|re
00008b90: 67 7c 72 65 67 69 73 74 65 72 73 7c 72 65 73 7c g|registers|res|
00008ba0: 72 65 73 69 7a 65 7c 72 65 74 7c 72 65 74 61 62 resize|ret|retab
00008bb0: 7c 72 65 74 75 7c 72 65 74 75 72 6e 7c 72 65 77 |retu|return|rew
00008bc0: 7c 72 65 77 69 6e 64 7c 72 69 7c 72 69 67 68 74 |rewind|ri|right
00008bd0: 7c 72 69 67 68 74 62 7c 72 69 67 68 74 62 65 6c |rightb|rightbel
00008be0: 6f 77 7c 72 75 7c 72 75 62 7c 72 75 62 79 7c 72 ow|ru|rub|ruby|r
00008bf0: 75 62 79 64 7c 72 75 62 79 64 6f 7c 72 75 62 79 ubyd|rubydo|ruby
00008c00: 66 7c 72 75 62 79 66 69 6c 65 7c 72 75 6e 74 69 f|rubyfile|runti
00008c10: 6d 65 7c 72 76 7c 72 76 69 6d 69 6e 66 6f 7c 73 me|rv|rviminfo|s
00008c20: 4e 7c 73 4e 65 78 74 7c 73 61 7c 73 61 6c 7c 73 N|sNext|sa|sal|s
00008c30: 61 6c 6c 7c 73 61 6e 7c 73 61 6e 64 62 6f 78 7c all|san|sandbox|
00008c40: 73 61 72 67 75 6d 65 6e 74 7c 73 61 76 7c 73 61 sargument|sav|sa
00008c50: 76 65 61 73 7c 73 62 7c 73 62 4e 7c 73 62 4e 65 veas|sb|sbN|sbNe
00008c60: 78 74 7c 73 62 61 7c 73 62 61 6c 6c 7c 73 62 66 xt|sba|sball|sbf
00008c70: 7c 73 62 66 69 72 73 74 7c 73 62 6c 7c 73 62 6c |sbfirst|sbl|sbl
00008c80: 61 73 74 7c 73 62 6d 7c 73 62 6d 6f 64 69 66 69 ast|sbm|sbmodifi
00008c90: 65 64 7c 73 62 6e 7c 73 62 6e 65 78 74 7c 73 62 ed|sbn|sbnext|sb
00008ca0: 70 7c 73 62 70 72 65 76 69 6f 75 73 7c 73 62 72 p|sbprevious|sbr
00008cb0: 7c 73 62 72 65 77 69 6e 64 7c 73 62 75 66 66 65 |sbrewind|sbuffe
00008cc0: 72 7c 73 63 72 69 70 7c 73 63 72 69 70 74 65 7c r|scrip|scripte|
00008cd0: 73 63 72 69 70 74 65 6e 63 6f 64 69 6e 67 7c 73 scriptencoding|s
00008ce0: 63 72 69 70 74 6e 61 6d 65 73 7c 73 65 7c 73 65 criptnames|se|se
00008cf0: 74 7c 73 65 74 66 7c 73 65 74 66 69 6c 65 74 79 t|setf|setfilety
00008d00: 70 65 7c 73 65 74 67 7c 73 65 74 67 6c 6f 62 61 pe|setg|setgloba
00008d10: 6c 7c 73 65 74 6c 7c 73 65 74 6c 6f 63 61 6c 7c l|setl|setlocal|
00008d20: 73 66 7c 73 66 69 6e 64 7c 73 66 69 72 7c 73 66 sf|sfind|sfir|sf
00008d30: 69 72 73 74 7c 73 68 7c 73 68 65 6c 6c 7c 73 69 irst|sh|shell|si
00008d40: 67 6e 7c 73 69 6c 7c 73 69 6c 65 6e 74 7c 73 69 gn|sil|silent|si
00008d50: 6d 7c 73 69 6d 61 6c 74 7c 73 6c 7c 73 6c 61 7c m|simalt|sl|sla|
00008d60: 73 6c 61 73 74 7c 73 6c 65 65 70 7c 73 6d 7c 73 slast|sleep|sm|s
00008d70: 6d 61 67 69 63 7c 73 6d 61 70 7c 73 6d 61 70 63 magic|smap|smapc
00008d80: 7c 73 6d 61 70 63 6c 65 61 72 7c 73 6d 65 7c 73 |smapclear|sme|s
00008d90: 6d 65 6e 75 7c 73 6e 7c 73 6e 65 78 74 7c 73 6e menu|sn|snext|sn
00008da0: 69 7c 73 6e 69 66 66 7c 73 6e 6f 7c 73 6e 6f 6d i|sniff|sno|snom
00008db0: 61 67 69 63 7c 73 6e 6f 72 7c 73 6e 6f 72 65 6d agic|snor|snorem
00008dc0: 61 70 7c 73 6e 6f 72 65 6d 65 7c 73 6e 6f 72 65 ap|snoreme|snore
00008dd0: 6d 65 6e 75 7c 73 6f 7c 73 6f 72 7c 73 6f 72 74 menu|so|sor|sort
00008de0: 7c 73 6f 75 72 63 65 7c 73 70 7c 73 70 65 7c 73 |source|sp|spe|s
00008df0: 70 65 6c 6c 64 7c 73 70 65 6c 6c 64 75 6d 70 7c pelld|spelldump|
00008e00: 73 70 65 6c 6c 67 6f 6f 64 7c 73 70 65 6c 6c 69 spellgood|spelli
00008e10: 7c 73 70 65 6c 6c 69 6e 66 6f 7c 73 70 65 6c 6c |spellinfo|spell
00008e20: 72 7c 73 70 65 6c 6c 72 65 70 61 6c 6c 7c 73 70 r|spellrepall|sp
00008e30: 65 6c 6c 75 7c 73 70 65 6c 6c 75 6e 64 6f 7c 73 ellu|spellundo|s
00008e40: 70 65 6c 6c 77 7c 73 70 65 6c 6c 77 72 6f 6e 67 pellw|spellwrong
00008e50: 7c 73 70 6c 69 74 7c 73 70 72 7c 73 70 72 65 76 |split|spr|sprev
00008e60: 69 6f 75 73 7c 73 72 65 7c 73 72 65 77 69 6e 64 ious|sre|srewind
00008e70: 7c 73 74 7c 73 74 61 7c 73 74 61 67 7c 73 74 61 |st|sta|stag|sta
00008e80: 72 7c 73 74 61 72 74 67 7c 73 74 61 72 74 67 72 r|startg|startgr
00008e90: 65 70 6c 61 63 65 7c 73 74 61 72 74 69 6e 73 65 eplace|startinse
00008ea0: 72 74 7c 73 74 61 72 74 72 7c 73 74 61 72 74 72 rt|startr|startr
00008eb0: 65 70 6c 61 63 65 7c 73 74 6a 7c 73 74 6a 75 6d eplace|stj|stjum
00008ec0: 70 7c 73 74 6f 70 7c 73 74 6f 70 69 7c 73 74 6f p|stop|stopi|sto
00008ed0: 70 69 6e 73 65 72 74 7c 73 74 73 7c 73 74 73 65 pinsert|sts|stse
00008ee0: 6c 65 63 74 7c 73 75 6e 7c 73 75 6e 68 69 64 65 lect|sun|sunhide
00008ef0: 7c 73 75 6e 6d 7c 73 75 6e 6d 61 70 7c 73 75 73 |sunm|sunmap|sus
00008f00: 7c 73 75 73 70 65 6e 64 7c 73 76 7c 73 76 69 65 |suspend|sv|svie
00008f10: 77 7c 73 79 6e 63 62 69 6e 64 7c 74 7c 74 4e 7c w|syncbind|t|tN|
00008f20: 74 4e 65 78 74 7c 74 61 7c 74 61 62 7c 74 61 62 tNext|ta|tab|tab
00008f30: 4e 7c 74 61 62 4e 65 78 74 7c 74 61 62 63 7c 74 N|tabNext|tabc|t
00008f40: 61 62 63 6c 6f 73 65 7c 74 61 62 64 7c 74 61 62 abclose|tabd|tab
00008f50: 64 6f 7c 74 61 62 65 7c 74 61 62 65 64 69 74 7c do|tabe|tabedit|
00008f60: 74 61 62 66 7c 74 61 62 66 69 6e 64 7c 74 61 62 tabf|tabfind|tab
00008f70: 66 69 72 7c 74 61 62 66 69 72 73 74 7c 74 61 62 fir|tabfirst|tab
00008f80: 6c 7c 74 61 62 6c 61 73 74 7c 74 61 62 6d 7c 74 l|tablast|tabm|t
00008f90: 61 62 6d 6f 76 65 7c 74 61 62 6e 7c 74 61 62 6e abmove|tabn|tabn
00008fa0: 65 77 7c 74 61 62 6e 65 78 74 7c 74 61 62 6f 7c ew|tabnext|tabo|
00008fb0: 74 61 62 6f 6e 6c 79 7c 74 61 62 70 7c 74 61 62 tabonly|tabp|tab
00008fc0: 70 72 65 76 69 6f 75 73 7c 74 61 62 72 7c 74 61 previous|tabr|ta
00008fd0: 62 72 65 77 69 6e 64 7c 74 61 62 73 7c 74 61 67 brewind|tabs|tag
00008fe0: 7c 74 61 67 73 7c 74 63 7c 74 63 6c 7c 74 63 6c |tags|tc|tcl|tcl
00008ff0: 64 7c 74 63 6c 64 6f 7c 74 63 6c 66 7c 74 63 6c d|tcldo|tclf|tcl
00009000: 66 69 6c 65 7c 74 65 7c 74 65 61 72 6f 66 66 7c file|te|tearoff|
00009010: 74 66 7c 74 66 69 72 73 74 7c 74 68 7c 74 68 72 tf|tfirst|th|thr
00009020: 6f 77 7c 74 6a 7c 74 6a 75 6d 70 7c 74 6c 7c 74 ow|tj|tjump|tl|t
00009030: 6c 61 73 74 7c 74 6d 7c 74 6d 65 6e 75 7c 74 6e last|tm|tmenu|tn
00009040: 7c 74 6e 65 78 74 7c 74 6f 7c 74 6f 70 6c 65 66 |tnext|to|toplef
00009050: 74 7c 74 70 7c 74 70 72 65 76 69 6f 75 73 7c 74 t|tp|tprevious|t
00009060: 72 7c 74 72 65 77 69 6e 64 7c 74 72 79 7c 74 73 r|trewind|try|ts
00009070: 7c 74 73 65 6c 65 63 74 7c 74 75 7c 74 75 6e 6d |tselect|tu|tunm
00009080: 65 6e 75 7c 75 7c 75 6e 61 7c 75 6e 61 62 62 72 enu|u|una|unabbr
00009090: 65 76 69 61 74 65 7c 75 6e 64 6f 7c 75 6e 64 6f eviate|undo|undo
000090a0: 6a 7c 75 6e 64 6f 6a 6f 69 6e 7c 75 6e 64 6f 6c j|undojoin|undol
000090b0: 7c 75 6e 64 6f 6c 69 73 74 7c 75 6e 68 7c 75 6e |undolist|unh|un
000090c0: 68 69 64 65 7c 75 6e 6c 65 74 7c 75 6e 6c 6f 7c hide|unlet|unlo|
000090d0: 75 6e 6c 6f 63 6b 76 61 72 7c 75 6e 6d 7c 75 6e unlockvar|unm|un
000090e0: 6d 61 70 7c 75 70 7c 75 70 64 61 74 65 7c 76 65 map|up|update|ve
000090f0: 7c 76 65 72 62 7c 76 65 72 62 6f 73 65 7c 76 65 |verb|verbose|ve
00009100: 72 73 69 6f 6e 7c 76 65 72 74 7c 76 65 72 74 69 rsion|vert|verti
00009110: 63 61 6c 7c 76 69 7c 76 69 65 7c 76 69 65 77 7c cal|vi|vie|view|
00009120: 76 69 6d 7c 76 69 6d 67 72 65 70 7c 76 69 6d 67 vim|vimgrep|vimg
00009130: 72 65 70 61 7c 76 69 6d 67 72 65 70 61 64 64 7c repa|vimgrepadd|
00009140: 76 69 73 75 61 6c 7c 76 69 75 7c 76 69 75 73 61 visual|viu|viusa
00009150: 67 65 7c 76 6d 61 70 63 7c 76 6d 61 70 63 6c 65 ge|vmapc|vmapcle
00009160: 61 72 7c 76 6e 65 7c 76 6e 65 77 7c 76 73 7c 76 ar|vne|vnew|vs|v
00009170: 73 70 6c 69 74 7c 76 75 7c 76 75 6e 6d 61 70 7c split|vu|vunmap|
00009180: 77 7c 77 4e 7c 77 4e 65 78 74 7c 77 61 7c 77 61 w|wN|wNext|wa|wa
00009190: 6c 6c 7c 77 68 7c 77 68 69 6c 65 7c 77 69 6e 7c ll|wh|while|win|
000091a0: 77 69 6e 63 7c 77 69 6e 63 6d 64 7c 77 69 6e 64 winc|wincmd|wind
000091b0: 6f 7c 77 69 6e 70 7c 77 69 6e 70 6f 73 7c 77 69 o|winp|winpos|wi
000091c0: 6e 73 69 7a 65 7c 77 6e 7c 77 6e 65 78 74 7c 77 nsize|wn|wnext|w
000091d0: 70 7c 77 70 72 65 76 69 6f 75 73 7c 77 71 7c 77 p|wprevious|wq|w
000091e0: 71 61 7c 77 71 61 6c 6c 7c 77 72 69 74 65 7c 77 qa|wqall|write|w
000091f0: 73 7c 77 73 76 65 72 62 7c 77 76 7c 77 76 69 6d s|wsverb|wv|wvim
00009200: 69 6e 66 6f 7c 78 7c 78 61 7c 78 61 6c 6c 7c 78 info|x|xa|xall|x
00009210: 69 74 7c 78 6d 7c 78 6d 61 70 7c 78 6d 61 70 63 it|xm|xmap|xmapc
00009220: 7c 78 6d 61 70 63 6c 65 61 72 7c 78 6d 65 7c 78 |xmapclear|xme|x
00009230: 6d 65 6e 75 7c 78 6e 7c 78 6e 6f 72 65 6d 61 70 menu|xn|xnoremap
00009240: 7c 78 6e 6f 72 65 6d 65 7c 78 6e 6f 72 65 6d 65 |xnoreme|xnoreme
00009250: 6e 75 7c 78 75 7c 78 75 6e 6d 61 70 7c 79 7c 79 nu|xu|xunmap|y|y
00009260: 61 6e 6b 29 5c 62 2f 2c 62 75 69 6c 74 69 6e 3a ank)\b/,builtin:
00009270: 2f 5c 62 28 3f 3a 61 63 64 7c 61 69 7c 61 6b 6d /\b(?:acd|ai|akm
00009280: 7c 61 6c 65 70 68 7c 61 6c 6c 6f 77 72 65 76 69 |aleph|allowrevi
00009290: 6e 73 7c 61 6c 74 6b 65 79 6d 61 70 7c 61 6d 62 ns|altkeymap|amb
000092a0: 69 77 69 64 74 68 7c 61 6d 62 77 7c 61 6e 74 69 iwidth|ambw|anti
000092b0: 7c 61 6e 74 69 61 6c 69 61 73 7c 61 72 61 62 7c |antialias|arab|
000092c0: 61 72 61 62 69 63 7c 61 72 61 62 69 63 73 68 61 arabic|arabicsha
000092d0: 70 65 7c 61 72 69 7c 61 72 73 68 61 70 65 7c 61 pe|ari|arshape|a
000092e0: 75 74 6f 63 68 64 69 72 7c 61 75 74 6f 63 6d 64 utochdir|autocmd
000092f0: 7c 61 75 74 6f 69 6e 64 65 6e 74 7c 61 75 74 6f |autoindent|auto
00009300: 72 65 61 64 7c 61 75 74 6f 77 72 69 74 65 7c 61 read|autowrite|a
00009310: 75 74 6f 77 72 69 74 65 61 6c 6c 7c 61 77 7c 61 utowriteall|aw|a
00009320: 77 61 7c 62 61 63 6b 67 72 6f 75 6e 64 7c 62 61 wa|background|ba
00009330: 63 6b 73 70 61 63 65 7c 62 61 63 6b 75 70 7c 62 ckspace|backup|b
00009340: 61 63 6b 75 70 63 6f 70 79 7c 62 61 63 6b 75 70 ackupcopy|backup
00009350: 64 69 72 7c 62 61 63 6b 75 70 65 78 74 7c 62 61 dir|backupext|ba
00009360: 63 6b 75 70 73 6b 69 70 7c 62 61 6c 6c 6f 6f 6e ckupskip|balloon
00009370: 64 65 6c 61 79 7c 62 61 6c 6c 6f 6f 6e 65 76 61 delay|ballooneva
00009380: 6c 7c 62 61 6c 6c 6f 6f 6e 65 78 70 72 7c 62 64 l|balloonexpr|bd
00009390: 69 72 7c 62 64 6c 61 79 7c 62 65 76 61 6c 7c 62 ir|bdlay|beval|b
000093a0: 65 78 7c 62 65 78 70 72 7c 62 67 7c 62 68 7c 62 ex|bexpr|bg|bh|b
000093b0: 69 6e 7c 62 69 6e 61 72 79 7c 62 69 6f 73 6b 7c in|binary|biosk|
000093c0: 62 69 6f 73 6b 65 79 7c 62 6b 7c 62 6b 63 7c 62 bioskey|bk|bkc|b
000093d0: 6f 6d 62 7c 62 72 65 61 6b 61 74 7c 62 72 6b 7c omb|breakat|brk|
000093e0: 62 72 6f 77 73 65 64 69 72 7c 62 73 7c 62 73 64 browsedir|bs|bsd
000093f0: 69 72 7c 62 73 6b 7c 62 74 7c 62 75 66 68 69 64 ir|bsk|bt|bufhid
00009400: 64 65 6e 7c 62 75 66 6c 69 73 74 65 64 7c 62 75 den|buflisted|bu
00009410: 66 74 79 70 65 7c 63 61 73 65 6d 61 70 7c 63 63 ftype|casemap|cc
00009420: 76 7c 63 64 70 61 74 68 7c 63 65 64 69 74 7c 63 v|cdpath|cedit|c
00009430: 66 75 7c 63 68 7c 63 68 61 72 63 6f 6e 76 65 72 fu|ch|charconver
00009440: 74 7c 63 69 7c 63 69 6e 7c 63 69 6e 64 65 6e 74 t|ci|cin|cindent
00009450: 7c 63 69 6e 6b 7c 63 69 6e 6b 65 79 73 7c 63 69 |cink|cinkeys|ci
00009460: 6e 6f 7c 63 69 6e 6f 70 74 69 6f 6e 73 7c 63 69 no|cinoptions|ci
00009470: 6e 77 7c 63 69 6e 77 6f 72 64 73 7c 63 6c 69 70 nw|cinwords|clip
00009480: 62 6f 61 72 64 7c 63 6d 64 68 65 69 67 68 74 7c board|cmdheight|
00009490: 63 6d 64 77 69 6e 68 65 69 67 68 74 7c 63 6d 70 cmdwinheight|cmp
000094a0: 7c 63 6d 73 7c 63 6f 6c 75 6d 6e 73 7c 63 6f 6d |cms|columns|com
000094b0: 7c 63 6f 6d 6d 65 6e 74 73 7c 63 6f 6d 6d 65 6e |comments|commen
000094c0: 74 73 74 72 69 6e 67 7c 63 6f 6d 70 61 74 69 62 tstring|compatib
000094d0: 6c 65 7c 63 6f 6d 70 6c 65 74 65 7c 63 6f 6d 70 le|complete|comp
000094e0: 6c 65 74 65 66 75 6e 63 7c 63 6f 6d 70 6c 65 74 letefunc|complet
000094f0: 65 6f 70 74 7c 63 6f 6e 73 6b 7c 63 6f 6e 73 6b eopt|consk|consk
00009500: 65 79 7c 63 6f 70 79 69 6e 64 65 6e 74 7c 63 6f ey|copyindent|co
00009510: 74 7c 63 70 6f 7c 63 70 6f 70 74 69 6f 6e 73 7c t|cpo|cpoptions|
00009520: 63 70 74 7c 63 73 63 6f 70 65 70 61 74 68 63 6f cpt|cscopepathco
00009530: 6d 70 7c 63 73 63 6f 70 65 70 72 67 7c 63 73 63 mp|cscopeprg|csc
00009540: 6f 70 65 71 75 69 63 6b 66 69 78 7c 63 73 63 6f opequickfix|csco
00009550: 70 65 74 61 67 7c 63 73 63 6f 70 65 74 61 67 6f petag|cscopetago
00009560: 72 64 65 72 7c 63 73 63 6f 70 65 76 65 72 62 6f rder|cscopeverbo
00009570: 73 65 7c 63 73 70 63 7c 63 73 70 72 67 7c 63 73 se|cspc|csprg|cs
00009580: 71 66 7c 63 73 74 7c 63 73 74 6f 7c 63 73 76 65 qf|cst|csto|csve
00009590: 72 62 7c 63 75 63 7c 63 75 6c 7c 63 75 72 73 6f rb|cuc|cul|curso
000095a0: 72 63 6f 6c 75 6d 6e 7c 63 75 72 73 6f 72 6c 69 rcolumn|cursorli
000095b0: 6e 65 7c 63 77 68 7c 64 65 62 75 67 7c 64 65 63 ne|cwh|debug|dec
000095c0: 6f 7c 64 65 66 7c 64 65 66 69 6e 65 7c 64 65 6c o|def|define|del
000095d0: 63 6f 6d 62 69 6e 65 7c 64 65 78 7c 64 67 7c 64 combine|dex|dg|d
000095e0: 69 63 74 7c 64 69 63 74 69 6f 6e 61 72 79 7c 64 ict|dictionary|d
000095f0: 69 66 66 7c 64 69 66 66 65 78 70 72 7c 64 69 66 iff|diffexpr|dif
00009600: 66 6f 70 74 7c 64 69 67 72 61 70 68 7c 64 69 70 fopt|digraph|dip
00009610: 7c 64 69 72 7c 64 69 72 65 63 74 6f 72 79 7c 64 |dir|directory|d
00009620: 79 7c 65 61 7c 65 61 64 7c 65 61 64 69 72 65 63 y|ea|ead|eadirec
00009630: 74 69 6f 6e 7c 65 62 7c 65 64 7c 65 64 63 6f 6d tion|eb|ed|edcom
00009640: 70 61 74 69 62 6c 65 7c 65 66 7c 65 66 6d 7c 65 patible|ef|efm|e
00009650: 69 7c 65 6b 7c 65 6e 63 7c 65 6e 63 6f 64 69 6e i|ek|enc|encodin
00009660: 67 7c 65 6e 64 6f 66 6c 69 6e 65 7c 65 6f 6c 7c g|endofline|eol|
00009670: 65 70 7c 65 71 75 61 6c 61 6c 77 61 79 73 7c 65 ep|equalalways|e
00009680: 71 75 61 6c 70 72 67 7c 65 72 72 6f 72 62 65 6c qualprg|errorbel
00009690: 6c 73 7c 65 72 72 6f 72 66 69 6c 65 7c 65 72 72 ls|errorfile|err
000096a0: 6f 72 66 6f 72 6d 61 74 7c 65 73 63 6b 65 79 73 orformat|esckeys
000096b0: 7c 65 74 7c 65 76 65 6e 74 69 67 6e 6f 72 65 7c |et|eventignore|
000096c0: 65 78 70 61 6e 64 74 61 62 7c 65 78 72 63 7c 66 expandtab|exrc|f
000096d0: 63 6c 7c 66 63 73 7c 66 64 63 7c 66 64 65 7c 66 cl|fcs|fdc|fde|f
000096e0: 64 69 7c 66 64 6c 7c 66 64 6c 73 7c 66 64 6d 7c di|fdl|fdls|fdm|
000096f0: 66 64 6e 7c 66 64 6f 7c 66 64 74 7c 66 65 6e 7c fdn|fdo|fdt|fen|
00009700: 66 65 6e 63 7c 66 65 6e 63 73 7c 66 65 78 7c 66 fenc|fencs|fex|f
00009710: 66 7c 66 66 73 7c 66 69 6c 65 65 6e 63 6f 64 69 f|ffs|fileencodi
00009720: 6e 67 7c 66 69 6c 65 65 6e 63 6f 64 69 6e 67 73 ng|fileencodings
00009730: 7c 66 69 6c 65 66 6f 72 6d 61 74 7c 66 69 6c 65 |fileformat|file
00009740: 66 6f 72 6d 61 74 73 7c 66 69 6c 6c 63 68 61 72 formats|fillchar
00009750: 73 7c 66 6b 7c 66 6b 6d 61 70 7c 66 6c 70 7c 66 s|fk|fkmap|flp|f
00009760: 6d 6c 7c 66 6d 72 7c 66 6f 6c 64 63 6f 6c 75 6d ml|fmr|foldcolum
00009770: 6e 7c 66 6f 6c 64 65 6e 61 62 6c 65 7c 66 6f 6c n|foldenable|fol
00009780: 64 65 78 70 72 7c 66 6f 6c 64 69 67 6e 6f 72 65 dexpr|foldignore
00009790: 7c 66 6f 6c 64 6c 65 76 65 6c 7c 66 6f 6c 64 6c |foldlevel|foldl
000097a0: 65 76 65 6c 73 74 61 72 74 7c 66 6f 6c 64 6d 61 evelstart|foldma
000097b0: 72 6b 65 72 7c 66 6f 6c 64 6d 65 74 68 6f 64 7c rker|foldmethod|
000097c0: 66 6f 6c 64 6d 69 6e 6c 69 6e 65 73 7c 66 6f 6c foldminlines|fol
000097d0: 64 6e 65 73 74 6d 61 78 7c 66 6f 6c 64 74 65 78 dnestmax|foldtex
000097e0: 74 7c 66 6f 72 6d 61 74 65 78 70 72 7c 66 6f 72 t|formatexpr|for
000097f0: 6d 61 74 6c 69 73 74 70 61 74 7c 66 6f 72 6d 61 matlistpat|forma
00009800: 74 6f 70 74 69 6f 6e 73 7c 66 6f 72 6d 61 74 70 toptions|formatp
00009810: 72 67 7c 66 70 7c 66 73 7c 66 73 79 6e 63 7c 66 rg|fp|fs|fsync|f
00009820: 74 7c 67 63 72 7c 67 64 7c 67 64 65 66 61 75 6c t|gcr|gd|gdefaul
00009830: 74 7c 67 66 6d 7c 67 66 6e 7c 67 66 73 7c 67 66 t|gfm|gfn|gfs|gf
00009840: 77 7c 67 68 72 7c 67 70 7c 67 72 65 70 66 6f 72 w|ghr|gp|grepfor
00009850: 6d 61 74 7c 67 72 65 70 70 72 67 7c 67 74 6c 7c mat|grepprg|gtl|
00009860: 67 74 74 7c 67 75 69 63 75 72 73 6f 72 7c 67 75 gtt|guicursor|gu
00009870: 69 66 6f 6e 74 7c 67 75 69 66 6f 6e 74 73 65 74 ifont|guifontset
00009880: 7c 67 75 69 66 6f 6e 74 77 69 64 65 7c 67 75 69 |guifontwide|gui
00009890: 68 65 61 64 72 6f 6f 6d 7c 67 75 69 6f 70 74 69 headroom|guiopti
000098a0: 6f 6e 73 7c 67 75 69 70 74 79 7c 67 75 69 74 61 ons|guipty|guita
000098b0: 62 6c 61 62 65 6c 7c 67 75 69 74 61 62 74 6f 6f blabel|guitabtoo
000098c0: 6c 74 69 70 7c 68 65 6c 70 66 69 6c 65 7c 68 65 ltip|helpfile|he
000098d0: 6c 70 68 65 69 67 68 74 7c 68 65 6c 70 6c 61 6e lpheight|helplan
000098e0: 67 7c 68 66 7c 68 68 7c 68 69 7c 68 69 64 64 65 g|hf|hh|hi|hidde
000098f0: 6e 7c 68 69 67 68 6c 69 67 68 74 7c 68 6b 7c 68 n|highlight|hk|h
00009900: 6b 6d 61 70 7c 68 6b 6d 61 70 70 7c 68 6b 70 7c kmap|hkmapp|hkp|
00009910: 68 6c 7c 68 6c 67 7c 68 6c 73 7c 68 6c 73 65 61 hl|hlg|hls|hlsea
00009920: 72 63 68 7c 69 63 7c 69 63 6f 6e 7c 69 63 6f 6e rch|ic|icon|icon
00009930: 73 74 72 69 6e 67 7c 69 67 6e 6f 72 65 63 61 73 string|ignorecas
00009940: 65 7c 69 6d 7c 69 6d 61 63 74 69 76 61 74 65 6b e|im|imactivatek
00009950: 65 79 7c 69 6d 61 6b 7c 69 6d 63 7c 69 6d 63 6d ey|imak|imc|imcm
00009960: 64 6c 69 6e 65 7c 69 6d 64 7c 69 6d 64 69 73 61 dline|imd|imdisa
00009970: 62 6c 65 7c 69 6d 69 7c 69 6d 69 6e 73 65 72 74 ble|imi|iminsert
00009980: 7c 69 6d 73 7c 69 6d 73 65 61 72 63 68 7c 69 6e |ims|imsearch|in
00009990: 63 7c 69 6e 63 6c 75 64 65 7c 69 6e 63 6c 75 64 c|include|includ
000099a0: 65 65 78 70 72 7c 69 6e 63 73 65 61 72 63 68 7c eexpr|incsearch|
000099b0: 69 6e 64 65 7c 69 6e 64 65 6e 74 65 78 70 72 7c inde|indentexpr|
000099c0: 69 6e 64 65 6e 74 6b 65 79 73 7c 69 6e 64 6b 7c indentkeys|indk|
000099d0: 69 6e 65 78 7c 69 6e 66 7c 69 6e 66 65 72 63 61 inex|inf|inferca
000099e0: 73 65 7c 69 6e 73 65 72 74 6d 6f 64 65 7c 69 6e se|insertmode|in
000099f0: 76 61 63 64 7c 69 6e 76 61 69 7c 69 6e 76 61 6b vacd|invai|invak
00009a00: 6d 7c 69 6e 76 61 6c 6c 6f 77 72 65 76 69 6e 73 m|invallowrevins
00009a10: 7c 69 6e 76 61 6c 74 6b 65 79 6d 61 70 7c 69 6e |invaltkeymap|in
00009a20: 76 61 6e 74 69 7c 69 6e 76 61 6e 74 69 61 6c 69 vanti|invantiali
00009a30: 61 73 7c 69 6e 76 61 72 7c 69 6e 76 61 72 61 62 as|invar|invarab
00009a40: 7c 69 6e 76 61 72 61 62 69 63 7c 69 6e 76 61 72 |invarabic|invar
00009a50: 61 62 69 63 73 68 61 70 65 7c 69 6e 76 61 72 69 abicshape|invari
00009a60: 7c 69 6e 76 61 72 73 68 61 70 65 7c 69 6e 76 61 |invarshape|inva
00009a70: 75 74 6f 63 68 64 69 72 7c 69 6e 76 61 75 74 6f utochdir|invauto
00009a80: 69 6e 64 65 6e 74 7c 69 6e 76 61 75 74 6f 72 65 indent|invautore
00009a90: 61 64 7c 69 6e 76 61 75 74 6f 77 72 69 74 65 7c ad|invautowrite|
00009aa0: 69 6e 76 61 75 74 6f 77 72 69 74 65 61 6c 6c 7c invautowriteall|
00009ab0: 69 6e 76 61 77 7c 69 6e 76 61 77 61 7c 69 6e 76 invaw|invawa|inv
00009ac0: 62 61 63 6b 75 70 7c 69 6e 76 62 61 6c 6c 6f 6f backup|invballoo
00009ad0: 6e 65 76 61 6c 7c 69 6e 76 62 65 76 61 6c 7c 69 neval|invbeval|i
00009ae0: 6e 76 62 69 6e 7c 69 6e 76 62 69 6e 61 72 79 7c nvbin|invbinary|
00009af0: 69 6e 76 62 69 6f 73 6b 7c 69 6e 76 62 69 6f 73 invbiosk|invbios
00009b00: 6b 65 79 7c 69 6e 76 62 6b 7c 69 6e 76 62 6c 7c key|invbk|invbl|
00009b10: 69 6e 76 62 6f 6d 62 7c 69 6e 76 62 75 66 6c 69 invbomb|invbufli
00009b20: 73 74 65 64 7c 69 6e 76 63 66 7c 69 6e 76 63 69 sted|invcf|invci
00009b30: 7c 69 6e 76 63 69 6e 7c 69 6e 76 63 69 6e 64 65 |invcin|invcinde
00009b40: 6e 74 7c 69 6e 76 63 6f 6d 70 61 74 69 62 6c 65 nt|invcompatible
00009b50: 7c 69 6e 76 63 6f 6e 66 69 72 6d 7c 69 6e 76 63 |invconfirm|invc
00009b60: 6f 6e 73 6b 7c 69 6e 76 63 6f 6e 73 6b 65 79 7c onsk|invconskey|
00009b70: 69 6e 76 63 6f 70 79 69 6e 64 65 6e 74 7c 69 6e invcopyindent|in
00009b80: 76 63 70 7c 69 6e 76 63 73 63 6f 70 65 74 61 67 vcp|invcscopetag
00009b90: 7c 69 6e 76 63 73 63 6f 70 65 76 65 72 62 6f 73 |invcscopeverbos
00009ba0: 65 7c 69 6e 76 63 73 74 7c 69 6e 76 63 73 76 65 e|invcst|invcsve
00009bb0: 72 62 7c 69 6e 76 63 75 63 7c 69 6e 76 63 75 6c rb|invcuc|invcul
00009bc0: 7c 69 6e 76 63 75 72 73 6f 72 63 6f 6c 75 6d 6e |invcursorcolumn
00009bd0: 7c 69 6e 76 63 75 72 73 6f 72 6c 69 6e 65 7c 69 |invcursorline|i
00009be0: 6e 76 64 65 63 6f 7c 69 6e 76 64 65 6c 63 6f 6d nvdeco|invdelcom
00009bf0: 62 69 6e 65 7c 69 6e 76 64 67 7c 69 6e 76 64 69 bine|invdg|invdi
00009c00: 66 66 7c 69 6e 76 64 69 67 72 61 70 68 7c 69 6e ff|invdigraph|in
00009c10: 76 64 69 73 61 62 6c 65 7c 69 6e 76 65 61 7c 69 vdisable|invea|i
00009c20: 6e 76 65 62 7c 69 6e 76 65 64 7c 69 6e 76 65 64 nveb|inved|inved
00009c30: 63 6f 6d 70 61 74 69 62 6c 65 7c 69 6e 76 65 6b compatible|invek
00009c40: 7c 69 6e 76 65 6e 64 6f 66 6c 69 6e 65 7c 69 6e |invendofline|in
00009c50: 76 65 6f 6c 7c 69 6e 76 65 71 75 61 6c 61 6c 77 veol|invequalalw
00009c60: 61 79 73 7c 69 6e 76 65 72 72 6f 72 62 65 6c 6c ays|inverrorbell
00009c70: 73 7c 69 6e 76 65 73 63 6b 65 79 73 7c 69 6e 76 s|invesckeys|inv
00009c80: 65 74 7c 69 6e 76 65 78 7c 69 6e 76 65 78 70 61 et|invex|invexpa
00009c90: 6e 64 74 61 62 7c 69 6e 76 65 78 72 63 7c 69 6e ndtab|invexrc|in
00009ca0: 76 66 65 6e 7c 69 6e 76 66 6b 7c 69 6e 76 66 6b vfen|invfk|invfk
00009cb0: 6d 61 70 7c 69 6e 76 66 6f 6c 64 65 6e 61 62 6c map|invfoldenabl
00009cc0: 65 7c 69 6e 76 67 64 7c 69 6e 76 67 64 65 66 61 e|invgd|invgdefa
00009cd0: 75 6c 74 7c 69 6e 76 67 75 69 70 74 79 7c 69 6e ult|invguipty|in
00009ce0: 76 68 69 64 7c 69 6e 76 68 69 64 64 65 6e 7c 69 vhid|invhidden|i
00009cf0: 6e 76 68 6b 7c 69 6e 76 68 6b 6d 61 70 7c 69 6e nvhk|invhkmap|in
00009d00: 76 68 6b 6d 61 70 70 7c 69 6e 76 68 6b 70 7c 69 vhkmapp|invhkp|i
00009d10: 6e 76 68 6c 73 7c 69 6e 76 68 6c 73 65 61 72 63 nvhls|invhlsearc
00009d20: 68 7c 69 6e 76 69 63 7c 69 6e 76 69 63 6f 6e 7c h|invic|invicon|
00009d30: 69 6e 76 69 67 6e 6f 72 65 63 61 73 65 7c 69 6e invignorecase|in
00009d40: 76 69 6d 7c 69 6e 76 69 6d 63 7c 69 6e 76 69 6d vim|invimc|invim
00009d50: 63 6d 64 6c 69 6e 65 7c 69 6e 76 69 6d 64 7c 69 cmdline|invimd|i
00009d60: 6e 76 69 6e 63 73 65 61 72 63 68 7c 69 6e 76 69 nvincsearch|invi
00009d70: 6e 66 7c 69 6e 76 69 6e 66 65 72 63 61 73 65 7c nf|invinfercase|
00009d80: 69 6e 76 69 6e 73 65 72 74 6d 6f 64 65 7c 69 6e invinsertmode|in
00009d90: 76 69 73 7c 69 6e 76 6a 6f 69 6e 73 70 61 63 65 vis|invjoinspace
00009da0: 73 7c 69 6e 76 6a 73 7c 69 6e 76 6c 61 7a 79 72 s|invjs|invlazyr
00009db0: 65 64 72 61 77 7c 69 6e 76 6c 62 72 7c 69 6e 76 edraw|invlbr|inv
00009dc0: 6c 69 6e 65 62 72 65 61 6b 7c 69 6e 76 6c 69 73 linebreak|invlis
00009dd0: 70 7c 69 6e 76 6c 69 73 74 7c 69 6e 76 6c 6f 61 p|invlist|invloa
00009de0: 64 70 6c 75 67 69 6e 73 7c 69 6e 76 6c 70 6c 7c dplugins|invlpl|
00009df0: 69 6e 76 6c 7a 7c 69 6e 76 6d 61 7c 69 6e 76 6d invlz|invma|invm
00009e00: 61 63 61 74 73 75 69 7c 69 6e 76 6d 61 67 69 63 acatsui|invmagic
00009e10: 7c 69 6e 76 6d 68 7c 69 6e 76 6d 6c 7c 69 6e 76 |invmh|invml|inv
00009e20: 6d 6f 64 7c 69 6e 76 6d 6f 64 65 6c 69 6e 65 7c mod|invmodeline|
00009e30: 69 6e 76 6d 6f 64 69 66 69 61 62 6c 65 7c 69 6e invmodifiable|in
00009e40: 76 6d 6f 64 69 66 69 65 64 7c 69 6e 76 6d 6f 72 vmodified|invmor
00009e50: 65 7c 69 6e 76 6d 6f 75 73 65 66 7c 69 6e 76 6d e|invmousef|invm
00009e60: 6f 75 73 65 66 6f 63 75 73 7c 69 6e 76 6d 6f 75 ousefocus|invmou
00009e70: 73 65 68 69 64 65 7c 69 6e 76 6e 75 7c 69 6e 76 sehide|invnu|inv
00009e80: 6e 75 6d 62 65 72 7c 69 6e 76 6f 64 65 76 7c 69 number|invodev|i
00009e90: 6e 76 6f 70 65 6e 64 65 76 69 63 65 7c 69 6e 76 nvopendevice|inv
00009ea0: 70 61 73 74 65 7c 69 6e 76 70 69 7c 69 6e 76 70 paste|invpi|invp
00009eb0: 72 65 73 65 72 76 65 69 6e 64 65 6e 74 7c 69 6e reserveindent|in
00009ec0: 76 70 72 65 76 69 65 77 77 69 6e 64 6f 77 7c 69 vpreviewwindow|i
00009ed0: 6e 76 70 72 6f 6d 70 74 7c 69 6e 76 70 76 77 7c nvprompt|invpvw|
00009ee0: 69 6e 76 72 65 61 64 6f 6e 6c 79 7c 69 6e 76 72 invreadonly|invr
00009ef0: 65 6d 61 70 7c 69 6e 76 72 65 73 74 6f 72 65 73 emap|invrestores
00009f00: 63 72 65 65 6e 7c 69 6e 76 72 65 76 69 6e 73 7c creen|invrevins|
00009f10: 69 6e 76 72 69 7c 69 6e 76 72 69 67 68 74 6c 65 invri|invrightle
00009f20: 66 74 7c 69 6e 76 72 69 67 68 74 6c 65 66 74 63 ft|invrightleftc
00009f30: 6d 64 7c 69 6e 76 72 6c 7c 69 6e 76 72 6c 63 7c md|invrl|invrlc|
00009f40: 69 6e 76 72 6f 7c 69 6e 76 72 73 7c 69 6e 76 72 invro|invrs|invr
00009f50: 75 7c 69 6e 76 72 75 6c 65 72 7c 69 6e 76 73 62 u|invruler|invsb
00009f60: 7c 69 6e 76 73 63 7c 69 6e 76 73 63 62 7c 69 6e |invsc|invscb|in
00009f70: 76 73 63 72 6f 6c 6c 62 69 6e 64 7c 69 6e 76 73 vscrollbind|invs
00009f80: 63 73 7c 69 6e 76 73 65 63 75 72 65 7c 69 6e 76 cs|invsecure|inv
00009f90: 73 66 74 7c 69 6e 76 73 68 65 6c 6c 73 6c 61 73 sft|invshellslas
00009fa0: 68 7c 69 6e 76 73 68 65 6c 6c 74 65 6d 70 7c 69 h|invshelltemp|i
00009fb0: 6e 76 73 68 69 66 74 72 6f 75 6e 64 7c 69 6e 76 nvshiftround|inv
00009fc0: 73 68 6f 72 74 6e 61 6d 65 7c 69 6e 76 73 68 6f shortname|invsho
00009fd0: 77 63 6d 64 7c 69 6e 76 73 68 6f 77 66 75 6c 6c wcmd|invshowfull
00009fe0: 74 61 67 7c 69 6e 76 73 68 6f 77 6d 61 74 63 68 tag|invshowmatch
00009ff0: 7c 69 6e 76 73 68 6f 77 6d 6f 64 65 7c 69 6e 76 |invshowmode|inv
0000a000: 73 69 7c 69 6e 76 73 6d 7c 69 6e 76 73 6d 61 72 si|invsm|invsmar
0000a010: 74 63 61 73 65 7c 69 6e 76 73 6d 61 72 74 69 6e tcase|invsmartin
0000a020: 64 65 6e 74 7c 69 6e 76 73 6d 61 72 74 74 61 62 dent|invsmarttab
0000a030: 7c 69 6e 76 73 6d 64 7c 69 6e 76 73 6e 7c 69 6e |invsmd|invsn|in
0000a040: 76 73 6f 6c 7c 69 6e 76 73 70 65 6c 6c 7c 69 6e vsol|invspell|in
0000a050: 76 73 70 6c 69 74 62 65 6c 6f 77 7c 69 6e 76 73 vsplitbelow|invs
0000a060: 70 6c 69 74 72 69 67 68 74 7c 69 6e 76 73 70 72 plitright|invspr
0000a070: 7c 69 6e 76 73 72 7c 69 6e 76 73 73 6c 7c 69 6e |invsr|invssl|in
0000a080: 76 73 74 61 7c 69 6e 76 73 74 61 72 74 6f 66 6c vsta|invstartofl
0000a090: 69 6e 65 7c 69 6e 76 73 74 6d 70 7c 69 6e 76 73 ine|invstmp|invs
0000a0a0: 77 61 70 66 69 6c 65 7c 69 6e 76 73 77 66 7c 69 wapfile|invswf|i
0000a0b0: 6e 76 74 61 7c 69 6e 76 74 61 67 62 73 65 61 72 nvta|invtagbsear
0000a0c0: 63 68 7c 69 6e 76 74 61 67 72 65 6c 61 74 69 76 ch|invtagrelativ
0000a0d0: 65 7c 69 6e 76 74 61 67 73 74 61 63 6b 7c 69 6e e|invtagstack|in
0000a0e0: 76 74 62 69 7c 69 6e 76 74 62 69 64 69 7c 69 6e vtbi|invtbidi|in
0000a0f0: 76 74 62 73 7c 69 6e 76 74 65 72 6d 62 69 64 69 vtbs|invtermbidi
0000a100: 7c 69 6e 76 74 65 72 73 65 7c 69 6e 76 74 65 78 |invterse|invtex
0000a110: 74 61 75 74 6f 7c 69 6e 76 74 65 78 74 6d 6f 64 tauto|invtextmod
0000a120: 65 7c 69 6e 76 74 66 7c 69 6e 76 74 67 73 74 7c e|invtf|invtgst|
0000a130: 69 6e 76 74 69 6c 64 65 6f 70 7c 69 6e 76 74 69 invtildeop|invti
0000a140: 6d 65 6f 75 74 7c 69 6e 76 74 69 74 6c 65 7c 69 meout|invtitle|i
0000a150: 6e 76 74 6f 7c 69 6e 76 74 6f 70 7c 69 6e 76 74 nvto|invtop|invt
0000a160: 72 7c 69 6e 76 74 74 69 6d 65 6f 75 74 7c 69 6e r|invttimeout|in
0000a170: 76 74 74 79 62 75 69 6c 74 69 6e 7c 69 6e 76 74 vttybuiltin|invt
0000a180: 74 79 66 61 73 74 7c 69 6e 76 74 78 7c 69 6e 76 tyfast|invtx|inv
0000a190: 76 62 7c 69 6e 76 76 69 73 75 61 6c 62 65 6c 6c vb|invvisualbell
0000a1a0: 7c 69 6e 76 77 61 7c 69 6e 76 77 61 72 6e 7c 69 |invwa|invwarn|i
0000a1b0: 6e 76 77 62 7c 69 6e 76 77 65 69 72 64 69 6e 76 nvwb|invweirdinv
0000a1c0: 65 72 74 7c 69 6e 76 77 66 68 7c 69 6e 76 77 66 ert|invwfh|invwf
0000a1d0: 77 7c 69 6e 76 77 69 6c 64 6d 65 6e 75 7c 69 6e w|invwildmenu|in
0000a1e0: 76 77 69 6e 66 69 78 68 65 69 67 68 74 7c 69 6e vwinfixheight|in
0000a1f0: 76 77 69 6e 66 69 78 77 69 64 74 68 7c 69 6e 76 vwinfixwidth|inv
0000a200: 77 69 76 7c 69 6e 76 77 6d 6e 75 7c 69 6e 76 77 wiv|invwmnu|invw
0000a210: 72 61 70 7c 69 6e 76 77 72 61 70 73 63 61 6e 7c rap|invwrapscan|
0000a220: 69 6e 76 77 72 69 74 65 7c 69 6e 76 77 72 69 74 invwrite|invwrit
0000a230: 65 61 6e 79 7c 69 6e 76 77 72 69 74 65 62 61 63 eany|invwritebac
0000a240: 6b 75 70 7c 69 6e 76 77 73 7c 69 73 66 7c 69 73 kup|invws|isf|is
0000a250: 66 6e 61 6d 65 7c 69 73 69 7c 69 73 69 64 65 6e fname|isi|isiden
0000a260: 74 7c 69 73 6b 7c 69 73 6b 65 79 77 6f 72 64 7c t|isk|iskeyword|
0000a270: 69 73 70 72 69 6e 74 7c 6a 6f 69 6e 73 70 61 63 isprint|joinspac
0000a280: 65 73 7c 6a 73 7c 6b 65 79 7c 6b 65 79 6d 61 70 es|js|key|keymap
0000a290: 7c 6b 65 79 6d 6f 64 65 6c 7c 6b 65 79 77 6f 72 |keymodel|keywor
0000a2a0: 64 70 72 67 7c 6b 6d 7c 6b 6d 70 7c 6b 70 7c 6c dprg|km|kmp|kp|l
0000a2b0: 61 6e 67 6d 61 70 7c 6c 61 6e 67 6d 65 6e 75 7c angmap|langmenu|
0000a2c0: 6c 61 73 74 73 74 61 74 75 73 7c 6c 61 7a 79 72 laststatus|lazyr
0000a2d0: 65 64 72 61 77 7c 6c 62 72 7c 6c 63 73 7c 6c 69 edraw|lbr|lcs|li
0000a2e0: 6e 65 62 72 65 61 6b 7c 6c 69 6e 65 73 7c 6c 69 nebreak|lines|li
0000a2f0: 6e 65 73 70 61 63 65 7c 6c 69 73 70 7c 6c 69 73 nespace|lisp|lis
0000a300: 70 77 6f 72 64 73 7c 6c 69 73 74 63 68 61 72 73 pwords|listchars
0000a310: 7c 6c 6f 61 64 70 6c 75 67 69 6e 73 7c 6c 70 6c |loadplugins|lpl
0000a320: 7c 6c 73 70 7c 6c 7a 7c 6d 61 63 61 74 73 75 69 |lsp|lz|macatsui
0000a330: 7c 6d 61 67 69 63 7c 6d 61 6b 65 65 66 7c 6d 61 |magic|makeef|ma
0000a340: 6b 65 70 72 67 7c 6d 61 74 63 68 70 61 69 72 73 keprg|matchpairs
0000a350: 7c 6d 61 74 63 68 74 69 6d 65 7c 6d 61 78 63 6f |matchtime|maxco
0000a360: 6d 62 69 6e 65 7c 6d 61 78 66 75 6e 63 64 65 70 mbine|maxfuncdep
0000a370: 74 68 7c 6d 61 78 6d 61 70 64 65 70 74 68 7c 6d th|maxmapdepth|m
0000a380: 61 78 6d 65 6d 7c 6d 61 78 6d 65 6d 70 61 74 74 axmem|maxmempatt
0000a390: 65 72 6e 7c 6d 61 78 6d 65 6d 74 6f 74 7c 6d 63 ern|maxmemtot|mc
0000a3a0: 6f 7c 6d 65 66 7c 6d 65 6e 75 69 74 65 6d 73 7c o|mef|menuitems|
0000a3b0: 6d 66 64 7c 6d 68 7c 6d 69 73 7c 6d 6b 73 70 65 mfd|mh|mis|mkspe
0000a3c0: 6c 6c 6d 65 6d 7c 6d 6c 7c 6d 6c 73 7c 6d 6d 7c llmem|ml|mls|mm|
0000a3d0: 6d 6d 64 7c 6d 6d 70 7c 6d 6d 74 7c 6d 6f 64 65 mmd|mmp|mmt|mode
0000a3e0: 6c 69 6e 65 7c 6d 6f 64 65 6c 69 6e 65 73 7c 6d line|modelines|m
0000a3f0: 6f 64 69 66 69 61 62 6c 65 7c 6d 6f 64 69 66 69 odifiable|modifi
0000a400: 65 64 7c 6d 6f 72 65 7c 6d 6f 75 73 65 7c 6d 6f ed|more|mouse|mo
0000a410: 75 73 65 66 7c 6d 6f 75 73 65 66 6f 63 75 73 7c usef|mousefocus|
0000a420: 6d 6f 75 73 65 68 69 64 65 7c 6d 6f 75 73 65 6d mousehide|mousem
0000a430: 7c 6d 6f 75 73 65 6d 6f 64 65 6c 7c 6d 6f 75 73 |mousemodel|mous
0000a440: 65 73 7c 6d 6f 75 73 65 73 68 61 70 65 7c 6d 6f es|mouseshape|mo
0000a450: 75 73 65 74 7c 6d 6f 75 73 65 74 69 6d 65 7c 6d uset|mousetime|m
0000a460: 70 7c 6d 70 73 7c 6d 73 6d 7c 6d 7a 71 7c 6d 7a p|mps|msm|mzq|mz
0000a470: 71 75 61 6e 74 75 6d 7c 6e 66 7c 6e 6f 61 63 64 quantum|nf|noacd
0000a480: 7c 6e 6f 61 69 7c 6e 6f 61 6b 6d 7c 6e 6f 61 6c |noai|noakm|noal
0000a490: 6c 6f 77 72 65 76 69 6e 73 7c 6e 6f 61 6c 74 6b lowrevins|noaltk
0000a4a0: 65 79 6d 61 70 7c 6e 6f 61 6e 74 69 7c 6e 6f 61 eymap|noanti|noa
0000a4b0: 6e 74 69 61 6c 69 61 73 7c 6e 6f 61 72 7c 6e 6f ntialias|noar|no
0000a4c0: 61 72 61 62 7c 6e 6f 61 72 61 62 69 63 7c 6e 6f arab|noarabic|no
0000a4d0: 61 72 61 62 69 63 73 68 61 70 65 7c 6e 6f 61 72 arabicshape|noar
0000a4e0: 69 7c 6e 6f 61 72 73 68 61 70 65 7c 6e 6f 61 75 i|noarshape|noau
0000a4f0: 74 6f 63 68 64 69 72 7c 6e 6f 61 75 74 6f 69 6e tochdir|noautoin
0000a500: 64 65 6e 74 7c 6e 6f 61 75 74 6f 72 65 61 64 7c dent|noautoread|
0000a510: 6e 6f 61 75 74 6f 77 72 69 74 65 7c 6e 6f 61 75 noautowrite|noau
0000a520: 74 6f 77 72 69 74 65 61 6c 6c 7c 6e 6f 61 77 7c towriteall|noaw|
0000a530: 6e 6f 61 77 61 7c 6e 6f 62 61 63 6b 75 70 7c 6e noawa|nobackup|n
0000a540: 6f 62 61 6c 6c 6f 6f 6e 65 76 61 6c 7c 6e 6f 62 oballooneval|nob
0000a550: 65 76 61 6c 7c 6e 6f 62 69 6e 7c 6e 6f 62 69 6e eval|nobin|nobin
0000a560: 61 72 79 7c 6e 6f 62 69 6f 73 6b 7c 6e 6f 62 69 ary|nobiosk|nobi
0000a570: 6f 73 6b 65 79 7c 6e 6f 62 6b 7c 6e 6f 62 6c 7c oskey|nobk|nobl|
0000a580: 6e 6f 62 6f 6d 62 7c 6e 6f 62 75 66 6c 69 73 74 nobomb|nobuflist
0000a590: 65 64 7c 6e 6f 63 66 7c 6e 6f 63 69 7c 6e 6f 63 ed|nocf|noci|noc
0000a5a0: 69 6e 7c 6e 6f 63 69 6e 64 65 6e 74 7c 6e 6f 63 in|nocindent|noc
0000a5b0: 6f 6d 70 61 74 69 62 6c 65 7c 6e 6f 63 6f 6e 66 ompatible|noconf
0000a5c0: 69 72 6d 7c 6e 6f 63 6f 6e 73 6b 7c 6e 6f 63 6f irm|noconsk|noco
0000a5d0: 6e 73 6b 65 79 7c 6e 6f 63 6f 70 79 69 6e 64 65 nskey|nocopyinde
0000a5e0: 6e 74 7c 6e 6f 63 70 7c 6e 6f 63 73 63 6f 70 65 nt|nocp|nocscope
0000a5f0: 74 61 67 7c 6e 6f 63 73 63 6f 70 65 76 65 72 62 tag|nocscopeverb
0000a600: 6f 73 65 7c 6e 6f 63 73 74 7c 6e 6f 63 73 76 65 ose|nocst|nocsve
0000a610: 72 62 7c 6e 6f 63 75 63 7c 6e 6f 63 75 6c 7c 6e rb|nocuc|nocul|n
0000a620: 6f 63 75 72 73 6f 72 63 6f 6c 75 6d 6e 7c 6e 6f ocursorcolumn|no
0000a630: 63 75 72 73 6f 72 6c 69 6e 65 7c 6e 6f 64 65 63 cursorline|nodec
0000a640: 6f 7c 6e 6f 64 65 6c 63 6f 6d 62 69 6e 65 7c 6e o|nodelcombine|n
0000a650: 6f 64 67 7c 6e 6f 64 69 66 66 7c 6e 6f 64 69 67 odg|nodiff|nodig
0000a660: 72 61 70 68 7c 6e 6f 64 69 73 61 62 6c 65 7c 6e raph|nodisable|n
0000a670: 6f 65 61 7c 6e 6f 65 62 7c 6e 6f 65 64 7c 6e 6f oea|noeb|noed|no
0000a680: 65 64 63 6f 6d 70 61 74 69 62 6c 65 7c 6e 6f 65 edcompatible|noe
0000a690: 6b 7c 6e 6f 65 6e 64 6f 66 6c 69 6e 65 7c 6e 6f k|noendofline|no
0000a6a0: 65 6f 6c 7c 6e 6f 65 71 75 61 6c 61 6c 77 61 79 eol|noequalalway
0000a6b0: 73 7c 6e 6f 65 72 72 6f 72 62 65 6c 6c 73 7c 6e s|noerrorbells|n
0000a6c0: 6f 65 73 63 6b 65 79 73 7c 6e 6f 65 74 7c 6e 6f oesckeys|noet|no
0000a6d0: 65 78 7c 6e 6f 65 78 70 61 6e 64 74 61 62 7c 6e ex|noexpandtab|n
0000a6e0: 6f 65 78 72 63 7c 6e 6f 66 65 6e 7c 6e 6f 66 6b oexrc|nofen|nofk
0000a6f0: 7c 6e 6f 66 6b 6d 61 70 7c 6e 6f 66 6f 6c 64 65 |nofkmap|nofolde
0000a700: 6e 61 62 6c 65 7c 6e 6f 67 64 7c 6e 6f 67 64 65 nable|nogd|nogde
0000a710: 66 61 75 6c 74 7c 6e 6f 67 75 69 70 74 79 7c 6e fault|noguipty|n
0000a720: 6f 68 69 64 7c 6e 6f 68 69 64 64 65 6e 7c 6e 6f ohid|nohidden|no
0000a730: 68 6b 7c 6e 6f 68 6b 6d 61 70 7c 6e 6f 68 6b 6d hk|nohkmap|nohkm
0000a740: 61 70 70 7c 6e 6f 68 6b 70 7c 6e 6f 68 6c 73 7c app|nohkp|nohls|
0000a750: 6e 6f 69 63 7c 6e 6f 69 63 6f 6e 7c 6e 6f 69 67 noic|noicon|noig
0000a760: 6e 6f 72 65 63 61 73 65 7c 6e 6f 69 6d 7c 6e 6f norecase|noim|no
0000a770: 69 6d 63 7c 6e 6f 69 6d 63 6d 64 6c 69 6e 65 7c imc|noimcmdline|
0000a780: 6e 6f 69 6d 64 7c 6e 6f 69 6e 63 73 65 61 72 63 noimd|noincsearc
0000a790: 68 7c 6e 6f 69 6e 66 7c 6e 6f 69 6e 66 65 72 63 h|noinf|noinferc
0000a7a0: 61 73 65 7c 6e 6f 69 6e 73 65 72 74 6d 6f 64 65 ase|noinsertmode
0000a7b0: 7c 6e 6f 69 73 7c 6e 6f 6a 6f 69 6e 73 70 61 63 |nois|nojoinspac
0000a7c0: 65 73 7c 6e 6f 6a 73 7c 6e 6f 6c 61 7a 79 72 65 es|nojs|nolazyre
0000a7d0: 64 72 61 77 7c 6e 6f 6c 62 72 7c 6e 6f 6c 69 6e draw|nolbr|nolin
0000a7e0: 65 62 72 65 61 6b 7c 6e 6f 6c 69 73 70 7c 6e 6f ebreak|nolisp|no
0000a7f0: 6c 69 73 74 7c 6e 6f 6c 6f 61 64 70 6c 75 67 69 list|noloadplugi
0000a800: 6e 73 7c 6e 6f 6c 70 6c 7c 6e 6f 6c 7a 7c 6e 6f ns|nolpl|nolz|no
0000a810: 6d 61 7c 6e 6f 6d 61 63 61 74 73 75 69 7c 6e 6f ma|nomacatsui|no
0000a820: 6d 61 67 69 63 7c 6e 6f 6d 68 7c 6e 6f 6d 6c 7c magic|nomh|noml|
0000a830: 6e 6f 6d 6f 64 7c 6e 6f 6d 6f 64 65 6c 69 6e 65 nomod|nomodeline
0000a840: 7c 6e 6f 6d 6f 64 69 66 69 61 62 6c 65 7c 6e 6f |nomodifiable|no
0000a850: 6d 6f 64 69 66 69 65 64 7c 6e 6f 6d 6f 72 65 7c modified|nomore|
0000a860: 6e 6f 6d 6f 75 73 65 66 7c 6e 6f 6d 6f 75 73 65 nomousef|nomouse
0000a870: 66 6f 63 75 73 7c 6e 6f 6d 6f 75 73 65 68 69 64 focus|nomousehid
0000a880: 65 7c 6e 6f 6e 75 7c 6e 6f 6e 75 6d 62 65 72 7c e|nonu|nonumber|
0000a890: 6e 6f 6f 64 65 76 7c 6e 6f 6f 70 65 6e 64 65 76 noodev|noopendev
0000a8a0: 69 63 65 7c 6e 6f 70 61 73 74 65 7c 6e 6f 70 69 ice|nopaste|nopi
0000a8b0: 7c 6e 6f 70 72 65 73 65 72 76 65 69 6e 64 65 6e |nopreserveinden
0000a8c0: 74 7c 6e 6f 70 72 65 76 69 65 77 77 69 6e 64 6f t|nopreviewwindo
0000a8d0: 77 7c 6e 6f 70 72 6f 6d 70 74 7c 6e 6f 70 76 77 w|noprompt|nopvw
0000a8e0: 7c 6e 6f 72 65 61 64 6f 6e 6c 79 7c 6e 6f 72 65 |noreadonly|nore
0000a8f0: 6d 61 70 7c 6e 6f 72 65 73 74 6f 72 65 73 63 72 map|norestorescr
0000a900: 65 65 6e 7c 6e 6f 72 65 76 69 6e 73 7c 6e 6f 72 een|norevins|nor
0000a910: 69 7c 6e 6f 72 69 67 68 74 6c 65 66 74 7c 6e 6f i|norightleft|no
0000a920: 72 69 67 68 74 6c 65 66 74 63 6d 64 7c 6e 6f 72 rightleftcmd|nor
0000a930: 6c 7c 6e 6f 72 6c 63 7c 6e 6f 72 6f 7c 6e 6f 72 l|norlc|noro|nor
0000a940: 73 7c 6e 6f 72 75 7c 6e 6f 72 75 6c 65 72 7c 6e s|noru|noruler|n
0000a950: 6f 73 62 7c 6e 6f 73 63 7c 6e 6f 73 63 62 7c 6e osb|nosc|noscb|n
0000a960: 6f 73 63 72 6f 6c 6c 62 69 6e 64 7c 6e 6f 73 63 oscrollbind|nosc
0000a970: 73 7c 6e 6f 73 65 63 75 72 65 7c 6e 6f 73 66 74 s|nosecure|nosft
0000a980: 7c 6e 6f 73 68 65 6c 6c 73 6c 61 73 68 7c 6e 6f |noshellslash|no
0000a990: 73 68 65 6c 6c 74 65 6d 70 7c 6e 6f 73 68 69 66 shelltemp|noshif
0000a9a0: 74 72 6f 75 6e 64 7c 6e 6f 73 68 6f 72 74 6e 61 tround|noshortna
0000a9b0: 6d 65 7c 6e 6f 73 68 6f 77 63 6d 64 7c 6e 6f 73 me|noshowcmd|nos
0000a9c0: 68 6f 77 66 75 6c 6c 74 61 67 7c 6e 6f 73 68 6f howfulltag|nosho
0000a9d0: 77 6d 61 74 63 68 7c 6e 6f 73 68 6f 77 6d 6f 64 wmatch|noshowmod
0000a9e0: 65 7c 6e 6f 73 69 7c 6e 6f 73 6d 7c 6e 6f 73 6d e|nosi|nosm|nosm
0000a9f0: 61 72 74 63 61 73 65 7c 6e 6f 73 6d 61 72 74 69 artcase|nosmarti
0000aa00: 6e 64 65 6e 74 7c 6e 6f 73 6d 61 72 74 74 61 62 ndent|nosmarttab
0000aa10: 7c 6e 6f 73 6d 64 7c 6e 6f 73 6e 7c 6e 6f 73 6f |nosmd|nosn|noso
0000aa20: 6c 7c 6e 6f 73 70 65 6c 6c 7c 6e 6f 73 70 6c 69 l|nospell|nospli
0000aa30: 74 62 65 6c 6f 77 7c 6e 6f 73 70 6c 69 74 72 69 tbelow|nosplitri
0000aa40: 67 68 74 7c 6e 6f 73 70 72 7c 6e 6f 73 72 7c 6e ght|nospr|nosr|n
0000aa50: 6f 73 73 6c 7c 6e 6f 73 74 61 7c 6e 6f 73 74 61 ossl|nosta|nosta
0000aa60: 72 74 6f 66 6c 69 6e 65 7c 6e 6f 73 74 6d 70 7c rtofline|nostmp|
0000aa70: 6e 6f 73 77 61 70 66 69 6c 65 7c 6e 6f 73 77 66 noswapfile|noswf
0000aa80: 7c 6e 6f 74 61 7c 6e 6f 74 61 67 62 73 65 61 72 |nota|notagbsear
0000aa90: 63 68 7c 6e 6f 74 61 67 72 65 6c 61 74 69 76 65 ch|notagrelative
0000aaa0: 7c 6e 6f 74 61 67 73 74 61 63 6b 7c 6e 6f 74 62 |notagstack|notb
0000aab0: 69 7c 6e 6f 74 62 69 64 69 7c 6e 6f 74 62 73 7c i|notbidi|notbs|
0000aac0: 6e 6f 74 65 72 6d 62 69 64 69 7c 6e 6f 74 65 72 notermbidi|noter
0000aad0: 73 65 7c 6e 6f 74 65 78 74 61 75 74 6f 7c 6e 6f se|notextauto|no
0000aae0: 74 65 78 74 6d 6f 64 65 7c 6e 6f 74 66 7c 6e 6f textmode|notf|no
0000aaf0: 74 67 73 74 7c 6e 6f 74 69 6c 64 65 6f 70 7c 6e tgst|notildeop|n
0000ab00: 6f 74 69 6d 65 6f 75 74 7c 6e 6f 74 69 74 6c 65 otimeout|notitle
0000ab10: 7c 6e 6f 74 6f 7c 6e 6f 74 6f 70 7c 6e 6f 74 72 |noto|notop|notr
0000ab20: 7c 6e 6f 74 74 69 6d 65 6f 75 74 7c 6e 6f 74 74 |nottimeout|nott
0000ab30: 79 62 75 69 6c 74 69 6e 7c 6e 6f 74 74 79 66 61 ybuiltin|nottyfa
0000ab40: 73 74 7c 6e 6f 74 78 7c 6e 6f 76 62 7c 6e 6f 76 st|notx|novb|nov
0000ab50: 69 73 75 61 6c 62 65 6c 6c 7c 6e 6f 77 61 7c 6e isualbell|nowa|n
0000ab60: 6f 77 61 72 6e 7c 6e 6f 77 62 7c 6e 6f 77 65 69 owarn|nowb|nowei
0000ab70: 72 64 69 6e 76 65 72 74 7c 6e 6f 77 66 68 7c 6e rdinvert|nowfh|n
0000ab80: 6f 77 66 77 7c 6e 6f 77 69 6c 64 6d 65 6e 75 7c owfw|nowildmenu|
0000ab90: 6e 6f 77 69 6e 66 69 78 68 65 69 67 68 74 7c 6e nowinfixheight|n
0000aba0: 6f 77 69 6e 66 69 78 77 69 64 74 68 7c 6e 6f 77 owinfixwidth|now
0000abb0: 69 76 7c 6e 6f 77 6d 6e 75 7c 6e 6f 77 72 61 70 iv|nowmnu|nowrap
0000abc0: 7c 6e 6f 77 72 61 70 73 63 61 6e 7c 6e 6f 77 72 |nowrapscan|nowr
0000abd0: 69 74 65 7c 6e 6f 77 72 69 74 65 61 6e 79 7c 6e ite|nowriteany|n
0000abe0: 6f 77 72 69 74 65 62 61 63 6b 75 70 7c 6e 6f 77 owritebackup|now
0000abf0: 73 7c 6e 72 66 6f 72 6d 61 74 73 7c 6e 75 6d 62 s|nrformats|numb
0000ac00: 65 72 77 69 64 74 68 7c 6e 75 77 7c 6f 64 65 76 erwidth|nuw|odev
0000ac10: 7c 6f 66 74 7c 6f 66 75 7c 6f 6d 6e 69 66 75 6e |oft|ofu|omnifun
0000ac20: 63 7c 6f 70 65 6e 64 65 76 69 63 65 7c 6f 70 65 c|opendevice|ope
0000ac30: 72 61 74 6f 72 66 75 6e 63 7c 6f 70 66 75 6e 63 ratorfunc|opfunc
0000ac40: 7c 6f 73 66 69 6c 65 74 79 70 65 7c 70 61 7c 70 |osfiletype|pa|p
0000ac50: 61 72 61 7c 70 61 72 61 67 72 61 70 68 73 7c 70 ara|paragraphs|p
0000ac60: 61 73 74 65 7c 70 61 73 74 65 74 6f 67 67 6c 65 aste|pastetoggle
0000ac70: 7c 70 61 74 63 68 65 78 70 72 7c 70 61 74 63 68 |patchexpr|patch
0000ac80: 6d 6f 64 65 7c 70 61 74 68 7c 70 64 65 76 7c 70 mode|path|pdev|p
0000ac90: 65 6e 63 7c 70 65 78 7c 70 65 78 70 72 7c 70 66 enc|pex|pexpr|pf
0000aca0: 6e 7c 70 68 7c 70 68 65 61 64 65 72 7c 70 69 7c n|ph|pheader|pi|
0000acb0: 70 6d 7c 70 6d 62 63 73 7c 70 6d 62 66 6e 7c 70 pm|pmbcs|pmbfn|p
0000acc0: 6f 70 74 7c 70 72 65 73 65 72 76 65 69 6e 64 65 opt|preserveinde
0000acd0: 6e 74 7c 70 72 65 76 69 65 77 68 65 69 67 68 74 nt|previewheight
0000ace0: 7c 70 72 65 76 69 65 77 77 69 6e 64 6f 77 7c 70 |previewwindow|p
0000acf0: 72 69 6e 74 64 65 76 69 63 65 7c 70 72 69 6e 74 rintdevice|print
0000ad00: 65 6e 63 6f 64 69 6e 67 7c 70 72 69 6e 74 65 78 encoding|printex
0000ad10: 70 72 7c 70 72 69 6e 74 66 6f 6e 74 7c 70 72 69 pr|printfont|pri
0000ad20: 6e 74 68 65 61 64 65 72 7c 70 72 69 6e 74 6d 62 ntheader|printmb
0000ad30: 63 68 61 72 73 65 74 7c 70 72 69 6e 74 6d 62 66 charset|printmbf
0000ad40: 6f 6e 74 7c 70 72 69 6e 74 6f 70 74 69 6f 6e 73 ont|printoptions
0000ad50: 7c 70 72 6f 6d 70 74 7c 70 74 7c 70 75 6d 68 65 |prompt|pt|pumhe
0000ad60: 69 67 68 74 7c 70 76 68 7c 70 76 77 7c 71 65 7c ight|pvh|pvw|qe|
0000ad70: 71 75 6f 74 65 65 73 63 61 70 65 7c 72 65 61 64 quoteescape|read
0000ad80: 6f 6e 6c 79 7c 72 65 6d 61 70 7c 72 65 70 6f 72 only|remap|repor
0000ad90: 74 7c 72 65 73 74 6f 72 65 73 63 72 65 65 6e 7c t|restorescreen|
0000ada0: 72 65 76 69 6e 73 7c 72 69 67 68 74 6c 65 66 74 revins|rightleft
0000adb0: 7c 72 69 67 68 74 6c 65 66 74 63 6d 64 7c 72 6c |rightleftcmd|rl
0000adc0: 7c 72 6c 63 7c 72 6f 7c 72 73 7c 72 74 70 7c 72 |rlc|ro|rs|rtp|r
0000add0: 75 66 7c 72 75 6c 65 72 7c 72 75 6c 65 72 66 6f uf|ruler|rulerfo
0000ade0: 72 6d 61 74 7c 72 75 6e 74 69 6d 65 70 61 74 68 rmat|runtimepath
0000adf0: 7c 73 62 6f 7c 73 63 7c 73 63 62 7c 73 63 72 7c |sbo|sc|scb|scr|
0000ae00: 73 63 72 6f 6c 6c 7c 73 63 72 6f 6c 6c 62 69 6e scroll|scrollbin
0000ae10: 64 7c 73 63 72 6f 6c 6c 6a 75 6d 70 7c 73 63 72 d|scrolljump|scr
0000ae20: 6f 6c 6c 6f 66 66 7c 73 63 72 6f 6c 6c 6f 70 74 olloff|scrollopt
0000ae30: 7c 73 63 73 7c 73 65 63 74 7c 73 65 63 74 69 6f |scs|sect|sectio
0000ae40: 6e 73 7c 73 65 63 75 72 65 7c 73 65 6c 7c 73 65 ns|secure|sel|se
0000ae50: 6c 65 63 74 69 6f 6e 7c 73 65 6c 65 63 74 6d 6f lection|selectmo
0000ae60: 64 65 7c 73 65 73 73 69 6f 6e 6f 70 74 69 6f 6e de|sessionoption
0000ae70: 73 7c 73 66 74 7c 73 68 63 66 7c 73 68 65 6c 6c s|sft|shcf|shell
0000ae80: 63 6d 64 66 6c 61 67 7c 73 68 65 6c 6c 70 69 70 cmdflag|shellpip
0000ae90: 65 7c 73 68 65 6c 6c 71 75 6f 74 65 7c 73 68 65 e|shellquote|she
0000aea0: 6c 6c 72 65 64 69 72 7c 73 68 65 6c 6c 73 6c 61 llredir|shellsla
0000aeb0: 73 68 7c 73 68 65 6c 6c 74 65 6d 70 7c 73 68 65 sh|shelltemp|she
0000aec0: 6c 6c 74 79 70 65 7c 73 68 65 6c 6c 78 71 75 6f lltype|shellxquo
0000aed0: 74 65 7c 73 68 69 66 74 72 6f 75 6e 64 7c 73 68 te|shiftround|sh
0000aee0: 69 66 74 77 69 64 74 68 7c 73 68 6d 7c 73 68 6f iftwidth|shm|sho
0000aef0: 72 74 6d 65 73 73 7c 73 68 6f 72 74 6e 61 6d 65 rtmess|shortname
0000af00: 7c 73 68 6f 77 62 72 65 61 6b 7c 73 68 6f 77 63 |showbreak|showc
0000af10: 6d 64 7c 73 68 6f 77 66 75 6c 6c 74 61 67 7c 73 md|showfulltag|s
0000af20: 68 6f 77 6d 61 74 63 68 7c 73 68 6f 77 6d 6f 64 howmatch|showmod
0000af30: 65 7c 73 68 6f 77 74 61 62 6c 69 6e 65 7c 73 68 e|showtabline|sh
0000af40: 71 7c 73 69 7c 73 69 64 65 73 63 72 6f 6c 6c 7c q|si|sidescroll|
0000af50: 73 69 64 65 73 63 72 6f 6c 6c 6f 66 66 7c 73 69 sidescrolloff|si
0000af60: 73 6f 7c 73 6a 7c 73 6c 6d 7c 73 6d 61 72 74 63 so|sj|slm|smartc
0000af70: 61 73 65 7c 73 6d 61 72 74 69 6e 64 65 6e 74 7c ase|smartindent|
0000af80: 73 6d 61 72 74 74 61 62 7c 73 6d 63 7c 73 6d 64 smarttab|smc|smd
0000af90: 7c 73 6f 66 74 74 61 62 73 74 6f 70 7c 73 6f 6c |softtabstop|sol
0000afa0: 7c 73 70 63 7c 73 70 65 6c 6c 7c 73 70 65 6c 6c |spc|spell|spell
0000afb0: 63 61 70 63 68 65 63 6b 7c 73 70 65 6c 6c 66 69 capcheck|spellfi
0000afc0: 6c 65 7c 73 70 65 6c 6c 6c 61 6e 67 7c 73 70 65 le|spelllang|spe
0000afd0: 6c 6c 73 75 67 67 65 73 74 7c 73 70 66 7c 73 70 llsuggest|spf|sp
0000afe0: 6c 7c 73 70 6c 69 74 62 65 6c 6f 77 7c 73 70 6c l|splitbelow|spl
0000aff0: 69 74 72 69 67 68 74 7c 73 70 73 7c 73 72 7c 73 itright|sps|sr|s
0000b000: 72 72 7c 73 73 7c 73 73 6c 7c 73 73 6f 70 7c 73 rr|ss|ssl|ssop|s
0000b010: 74 61 6c 7c 73 74 61 72 74 6f 66 6c 69 6e 65 7c tal|startofline|
0000b020: 73 74 61 74 75 73 6c 69 6e 65 7c 73 74 6c 7c 73 statusline|stl|s
0000b030: 74 6d 70 7c 73 75 7c 73 75 61 7c 73 75 66 66 69 tmp|su|sua|suffi
0000b040: 78 65 73 7c 73 75 66 66 69 78 65 73 61 64 64 7c xes|suffixesadd|
0000b050: 73 77 7c 73 77 61 70 66 69 6c 65 7c 73 77 61 70 sw|swapfile|swap
0000b060: 73 79 6e 63 7c 73 77 62 7c 73 77 66 7c 73 77 69 sync|swb|swf|swi
0000b070: 74 63 68 62 75 66 7c 73 77 73 7c 73 78 71 7c 73 tchbuf|sws|sxq|s
0000b080: 79 6e 7c 73 79 6e 6d 61 78 63 6f 6c 7c 73 79 6e yn|synmaxcol|syn
0000b090: 74 61 78 7c 74 5f 41 42 7c 74 5f 41 46 7c 74 5f tax|t_AB|t_AF|t_
0000b0a0: 41 4c 7c 74 5f 43 53 7c 74 5f 43 56 7c 74 5f 43 AL|t_CS|t_CV|t_C
0000b0b0: 65 7c 74 5f 43 6f 7c 74 5f 43 73 7c 74 5f 44 4c e|t_Co|t_Cs|t_DL
0000b0c0: 7c 74 5f 45 49 7c 74 5f 46 31 7c 74 5f 46 32 7c |t_EI|t_F1|t_F2|
0000b0d0: 74 5f 46 33 7c 74 5f 46 34 7c 74 5f 46 35 7c 74 t_F3|t_F4|t_F5|t
0000b0e0: 5f 46 36 7c 74 5f 46 37 7c 74 5f 46 38 7c 74 5f _F6|t_F7|t_F8|t_
0000b0f0: 46 39 7c 74 5f 49 45 7c 74 5f 49 53 7c 74 5f 4b F9|t_IE|t_IS|t_K
0000b100: 31 7c 74 5f 4b 33 7c 74 5f 4b 34 7c 74 5f 4b 35 1|t_K3|t_K4|t_K5
0000b110: 7c 74 5f 4b 36 7c 74 5f 4b 37 7c 74 5f 4b 38 7c |t_K6|t_K7|t_K8|
0000b120: 74 5f 4b 39 7c 74 5f 4b 41 7c 74 5f 4b 42 7c 74 t_K9|t_KA|t_KB|t
0000b130: 5f 4b 43 7c 74 5f 4b 44 7c 74 5f 4b 45 7c 74 5f _KC|t_KD|t_KE|t_
0000b140: 4b 46 7c 74 5f 4b 47 7c 74 5f 4b 48 7c 74 5f 4b KF|t_KG|t_KH|t_K
0000b150: 49 7c 74 5f 4b 4a 7c 74 5f 4b 4b 7c 74 5f 4b 4c I|t_KJ|t_KK|t_KL
0000b160: 7c 74 5f 52 49 7c 74 5f 52 56 7c 74 5f 53 49 7c |t_RI|t_RV|t_SI|
0000b170: 74 5f 53 62 7c 74 5f 53 66 7c 74 5f 57 50 7c 74 t_Sb|t_Sf|t_WP|t
0000b180: 5f 57 53 7c 74 5f 5a 48 7c 74 5f 5a 52 7c 74 5f _WS|t_ZH|t_ZR|t_
0000b190: 61 6c 7c 74 5f 62 63 7c 74 5f 63 64 7c 74 5f 63 al|t_bc|t_cd|t_c
0000b1a0: 65 7c 74 5f 63 6c 7c 74 5f 63 6d 7c 74 5f 63 73 e|t_cl|t_cm|t_cs
0000b1b0: 7c 74 5f 64 61 7c 74 5f 64 62 7c 74 5f 64 6c 7c |t_da|t_db|t_dl|
0000b1c0: 74 5f 66 73 7c 74 5f 6b 31 7c 74 5f 6b 32 7c 74 t_fs|t_k1|t_k2|t
0000b1d0: 5f 6b 33 7c 74 5f 6b 34 7c 74 5f 6b 35 7c 74 5f _k3|t_k4|t_k5|t_
0000b1e0: 6b 36 7c 74 5f 6b 37 7c 74 5f 6b 38 7c 74 5f 6b k6|t_k7|t_k8|t_k
0000b1f0: 39 7c 74 5f 6b 42 7c 74 5f 6b 44 7c 74 5f 6b 49 9|t_kB|t_kD|t_kI
0000b200: 7c 74 5f 6b 4e 7c 74 5f 6b 50 7c 74 5f 6b 62 7c |t_kN|t_kP|t_kb|
0000b210: 74 5f 6b 64 7c 74 5f 6b 65 7c 74 5f 6b 68 7c 74 t_kd|t_ke|t_kh|t
0000b220: 5f 6b 6c 7c 74 5f 6b 72 7c 74 5f 6b 73 7c 74 5f _kl|t_kr|t_ks|t_
0000b230: 6b 75 7c 74 5f 6c 65 7c 74 5f 6d 62 7c 74 5f 6d ku|t_le|t_mb|t_m
0000b240: 64 7c 74 5f 6d 65 7c 74 5f 6d 72 7c 74 5f 6d 73 d|t_me|t_mr|t_ms
0000b250: 7c 74 5f 6e 64 7c 74 5f 6f 70 7c 74 5f 73 65 7c |t_nd|t_op|t_se|
0000b260: 74 5f 73 6f 7c 74 5f 73 72 7c 74 5f 74 65 7c 74 t_so|t_sr|t_te|t
0000b270: 5f 74 69 7c 74 5f 74 73 7c 74 5f 75 65 7c 74 5f _ti|t_ts|t_ue|t_
0000b280: 75 73 7c 74 5f 75 74 7c 74 5f 76 62 7c 74 5f 76 us|t_ut|t_vb|t_v
0000b290: 65 7c 74 5f 76 69 7c 74 5f 76 73 7c 74 5f 78 73 e|t_vi|t_vs|t_xs
0000b2a0: 7c 74 61 62 6c 69 6e 65 7c 74 61 62 70 61 67 65 |tabline|tabpage
0000b2b0: 6d 61 78 7c 74 61 62 73 74 6f 70 7c 74 61 67 62 max|tabstop|tagb
0000b2c0: 73 65 61 72 63 68 7c 74 61 67 6c 65 6e 67 74 68 search|taglength
0000b2d0: 7c 74 61 67 72 65 6c 61 74 69 76 65 7c 74 61 67 |tagrelative|tag
0000b2e0: 73 74 61 63 6b 7c 74 61 6c 7c 74 62 7c 74 62 69 stack|tal|tb|tbi
0000b2f0: 7c 74 62 69 64 69 7c 74 62 69 73 7c 74 62 73 7c |tbidi|tbis|tbs|
0000b300: 74 65 6e 63 7c 74 65 72 6d 7c 74 65 72 6d 62 69 tenc|term|termbi
0000b310: 64 69 7c 74 65 72 6d 65 6e 63 6f 64 69 6e 67 7c di|termencoding|
0000b320: 74 65 72 73 65 7c 74 65 78 74 61 75 74 6f 7c 74 terse|textauto|t
0000b330: 65 78 74 6d 6f 64 65 7c 74 65 78 74 77 69 64 74 extmode|textwidt
0000b340: 68 7c 74 67 73 74 7c 74 68 65 73 61 75 72 75 73 h|tgst|thesaurus
0000b350: 7c 74 69 6c 64 65 6f 70 7c 74 69 6d 65 6f 75 74 |tildeop|timeout
0000b360: 7c 74 69 6d 65 6f 75 74 6c 65 6e 7c 74 69 74 6c |timeoutlen|titl
0000b370: 65 7c 74 69 74 6c 65 6c 65 6e 7c 74 69 74 6c 65 e|titlelen|title
0000b380: 6f 6c 64 7c 74 69 74 6c 65 73 74 72 69 6e 67 7c old|titlestring|
0000b390: 74 6f 6f 6c 62 61 72 7c 74 6f 6f 6c 62 61 72 69 toolbar|toolbari
0000b3a0: 63 6f 6e 73 69 7a 65 7c 74 6f 70 7c 74 70 6d 7c consize|top|tpm|
0000b3b0: 74 73 6c 7c 74 73 72 7c 74 74 69 6d 65 6f 75 74 tsl|tsr|ttimeout
0000b3c0: 7c 74 74 69 6d 65 6f 75 74 6c 65 6e 7c 74 74 6d |ttimeoutlen|ttm
0000b3d0: 7c 74 74 79 7c 74 74 79 62 75 69 6c 74 69 6e 7c |tty|ttybuiltin|
0000b3e0: 74 74 79 66 61 73 74 7c 74 74 79 6d 7c 74 74 79 ttyfast|ttym|tty
0000b3f0: 6d 6f 75 73 65 7c 74 74 79 73 63 72 6f 6c 6c 7c mouse|ttyscroll|
0000b400: 74 74 79 74 79 70 65 7c 74 77 7c 74 78 7c 75 63 ttytype|tw|tx|uc
0000b410: 7c 75 6c 7c 75 6e 64 6f 6c 65 76 65 6c 73 7c 75 |ul|undolevels|u
0000b420: 70 64 61 74 65 63 6f 75 6e 74 7c 75 70 64 61 74 pdatecount|updat
0000b430: 65 74 69 6d 65 7c 75 74 7c 76 62 7c 76 62 73 7c etime|ut|vb|vbs|
0000b440: 76 64 69 72 7c 76 65 72 62 6f 73 65 66 69 6c 65 vdir|verbosefile
0000b450: 7c 76 66 69 6c 65 7c 76 69 65 77 64 69 72 7c 76 |vfile|viewdir|v
0000b460: 69 65 77 6f 70 74 69 6f 6e 73 7c 76 69 6d 69 6e iewoptions|vimin
0000b470: 66 6f 7c 76 69 72 74 75 61 6c 65 64 69 74 7c 76 fo|virtualedit|v
0000b480: 69 73 75 61 6c 62 65 6c 6c 7c 76 6f 70 7c 77 61 isualbell|vop|wa
0000b490: 6b 7c 77 61 72 6e 7c 77 62 7c 77 63 7c 77 63 6d k|warn|wb|wc|wcm
0000b4a0: 7c 77 64 7c 77 65 69 72 64 69 6e 76 65 72 74 7c |wd|weirdinvert|
0000b4b0: 77 66 68 7c 77 66 77 7c 77 68 69 63 68 77 72 61 wfh|wfw|whichwra
0000b4c0: 70 7c 77 69 7c 77 69 67 7c 77 69 6c 64 63 68 61 p|wi|wig|wildcha
0000b4d0: 72 7c 77 69 6c 64 63 68 61 72 6d 7c 77 69 6c 64 r|wildcharm|wild
0000b4e0: 69 67 6e 6f 72 65 7c 77 69 6c 64 6d 65 6e 75 7c ignore|wildmenu|
0000b4f0: 77 69 6c 64 6d 6f 64 65 7c 77 69 6c 64 6f 70 74 wildmode|wildopt
0000b500: 69 6f 6e 73 7c 77 69 6d 7c 77 69 6e 61 6c 74 6b ions|wim|winaltk
0000b510: 65 79 73 7c 77 69 6e 64 6f 77 7c 77 69 6e 66 69 eys|window|winfi
0000b520: 78 68 65 69 67 68 74 7c 77 69 6e 66 69 78 77 69 xheight|winfixwi
0000b530: 64 74 68 7c 77 69 6e 68 65 69 67 68 74 7c 77 69 dth|winheight|wi
0000b540: 6e 6d 69 6e 68 65 69 67 68 74 7c 77 69 6e 6d 69 nminheight|winmi
0000b550: 6e 77 69 64 74 68 7c 77 69 6e 77 69 64 74 68 7c nwidth|winwidth|
0000b560: 77 69 76 7c 77 69 77 7c 77 6d 7c 77 6d 68 7c 77 wiv|wiw|wm|wmh|w
0000b570: 6d 6e 75 7c 77 6d 77 7c 77 6f 70 7c 77 72 61 70 mnu|wmw|wop|wrap
0000b580: 7c 77 72 61 70 6d 61 72 67 69 6e 7c 77 72 61 70 |wrapmargin|wrap
0000b590: 73 63 61 6e 7c 77 72 69 74 65 61 6e 79 7c 77 72 scan|writeany|wr
0000b5a0: 69 74 65 62 61 63 6b 75 70 7c 77 72 69 74 65 64 itebackup|writed
0000b5b0: 65 6c 61 79 7c 77 77 29 5c 62 2f 2c 6e 75 6d 62 elay|ww)\b/,numb
0000b5c0: 65 72 3a 2f 5c 62 28 3f 3a 30 78 5b 5c 64 61 2d er:/\b(?:0x[\da-
0000b5d0: 66 5d 2b 7c 5c 64 2b 28 3f 3a 5c 2e 5c 64 2b 29 f]+|\d+(?:\.\d+)
0000b5e0: 3f 29 5c 62 2f 69 2c 6f 70 65 72 61 74 6f 72 3a ?)\b/i,operator:
0000b5f0: 2f 5c 7c 5c 7c 7c 26 26 7c 5b 2d 2b 2e 5d 3d 3f /\|\||&&|[-+.]=?
0000b600: 7c 5b 3d 21 5d 28 3f 3a 5b 3d 7e 5d 5b 23 3f 5d |[=!](?:[=~][#?]
0000b610: 3f 29 3f 7c 5b 3c 3e 5d 3d 3f 5b 23 3f 5d 3f 7c ?)?|[<>]=?[#?]?|
0000b620: 5b 2a 5c 2f 25 3f 5d 7c 5c 62 28 3f 3a 69 73 28 [*\/%?]|\b(?:is(
0000b630: 3f 3a 6e 6f 74 29 3f 29 5c 62 2f 2c 70 75 6e 63 ?:not)?)\b/,punc
0000b640: 74 75 61 74 69 6f 6e 3a 2f 5b 7b 7d 5b 5c 5d 28 tuation:/[{}[\](
0000b650: 29 2c 3b 3a 5d 2f 7d 7d 65 2e 65 78 70 6f 72 74 ),;:]/}}e.export
0000b660: 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 6d s=t,t.displayNam
0000b670: 65 3d 22 76 69 6d 22 2c 74 2e 61 6c 69 61 73 65 e="vim",t.aliase
0000b680: 73 3d 5b 5d 7d 2c 32 39 34 32 34 3a 66 75 6e 63 s=[]},29424:func
0000b690: 74 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 74 72 tion(e){"use str
0000b6a0: 69 63 74 22 3b 66 75 6e 63 74 69 6f 6e 20 74 28 ict";function t(
0000b6b0: 65 29 7b 65 2e 6c 61 6e 67 75 61 67 65 73 5b 22 e){e.languages["
0000b6c0: 76 69 73 75 61 6c 2d 62 61 73 69 63 22 5d 3d 7b visual-basic"]={
0000b6d0: 63 6f 6d 6d 65 6e 74 3a 7b 70 61 74 74 65 72 6e comment:{pattern
0000b6e0: 3a 2f 28 3f 3a 5b 27 e2 80 98 e2 80 99 5d 7c 52 :/(?:['......]|R
0000b6f0: 45 4d 5c 62 29 28 3f 3a 5b 5e 5c 72 5c 6e 5f 5d EM\b)(?:[^\r\n_]
0000b700: 7c 5f 28 3f 3a 5c 72 5c 6e 3f 7c 5c 6e 29 3f 29 |_(?:\r\n?|\n)?)
0000b710: 2a 2f 69 2c 69 6e 73 69 64 65 3a 7b 6b 65 79 77 */i,inside:{keyw
0000b720: 6f 72 64 3a 2f 5e 52 45 4d 2f 69 7d 7d 2c 64 69 ord:/^REM/i}},di
0000b730: 72 65 63 74 69 76 65 3a 7b 70 61 74 74 65 72 6e rective:{pattern
0000b740: 3a 2f 23 28 3f 3a 43 6f 6e 73 74 7c 45 6c 73 65 :/#(?:Const|Else
0000b750: 7c 45 6c 73 65 49 66 7c 45 6e 64 7c 45 78 74 65 |ElseIf|End|Exte
0000b760: 72 6e 61 6c 43 68 65 63 6b 73 75 6d 7c 45 78 74 rnalChecksum|Ext
0000b770: 65 72 6e 61 6c 53 6f 75 72 63 65 7c 49 66 7c 52 ernalSource|If|R
0000b780: 65 67 69 6f 6e 29 28 3f 3a 5c 62 5f 5b 20 5c 74 egion)(?:\b_[ \t
0000b790: 5d 2a 28 3f 3a 5c 72 5c 6e 3f 7c 5c 6e 29 7c 2e ]*(?:\r\n?|\n)|.
0000b7a0: 29 2b 2f 69 2c 61 6c 69 61 73 3a 22 70 72 6f 70 )+/i,alias:"prop
0000b7b0: 65 72 74 79 22 2c 67 72 65 65 64 79 3a 21 30 7d erty",greedy:!0}
0000b7c0: 2c 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 72 6e ,string:{pattern
0000b7d0: 3a 2f 5c 24 3f 5b 22 e2 80 9c e2 80 9d 5d 28 3f :/\$?["......](?
0000b7e0: 3a 5b 22 e2 80 9c e2 80 9d 5d 7b 32 7d 7c 5b 5e :["......]{2}|[^
0000b7f0: 22 e2 80 9c e2 80 9d 5d 29 2a 5b 22 e2 80 9c e2 "......])*["....
0000b800: 80 9d 5d 43 3f 2f 69 2c 67 72 65 65 64 79 3a 21 ..]C?/i,greedy:!
0000b810: 30 7d 2c 64 61 74 65 3a 7b 70 61 74 74 65 72 6e 0},date:{pattern
0000b820: 3a 2f 23 5b 20 5c 74 5d 2a 28 3f 3a 5c 64 2b 28 :/#[ \t]*(?:\d+(
0000b830: 5b 2f 2d 5d 29 5c 64 2b 5c 31 5c 64 2b 28 3f 3a [/-])\d+\1\d+(?:
0000b840: 5b 20 5c 74 5d 2b 28 3f 3a 5c 64 2b 5b 20 5c 74 [ \t]+(?:\d+[ \t
0000b850: 5d 2a 28 3f 3a 41 4d 7c 50 4d 29 7c 5c 64 2b 3a ]*(?:AM|PM)|\d+:
0000b860: 5c 64 2b 28 3f 3a 3a 5c 64 2b 29 3f 28 3f 3a 5b \d+(?::\d+)?(?:[
0000b870: 20 5c 74 5d 2a 28 3f 3a 41 4d 7c 50 4d 29 29 3f \t]*(?:AM|PM))?
0000b880: 29 29 3f 7c 5c 64 2b 5b 20 5c 74 5d 2a 28 3f 3a ))?|\d+[ \t]*(?:
0000b890: 41 4d 7c 50 4d 29 7c 5c 64 2b 3a 5c 64 2b 28 3f AM|PM)|\d+:\d+(?
0000b8a0: 3a 3a 5c 64 2b 29 3f 28 3f 3a 5b 20 5c 74 5d 2a ::\d+)?(?:[ \t]*
0000b8b0: 28 3f 3a 41 4d 7c 50 4d 29 29 3f 29 5b 20 5c 74 (?:AM|PM))?)[ \t
0000b8c0: 5d 2a 23 2f 69 2c 61 6c 69 61 73 3a 22 6e 75 6d ]*#/i,alias:"num
0000b8d0: 62 65 72 22 7d 2c 6e 75 6d 62 65 72 3a 2f 28 3f ber"},number:/(?
0000b8e0: 3a 28 3f 3a 5c 62 5c 64 2b 28 3f 3a 5c 2e 5c 64 :(?:\b\d+(?:\.\d
0000b8f0: 2b 29 3f 7c 5c 2e 5c 64 2b 29 28 3f 3a 45 5b 2b +)?|\.\d+)(?:E[+
0000b900: 2d 5d 3f 5c 64 2b 29 3f 7c 26 5b 48 4f 5d 5b 5c -]?\d+)?|&[HO][\
0000b910: 64 41 2d 46 5d 2b 29 28 3f 3a 5b 46 52 44 5d 7c dA-F]+)(?:[FRD]|
0000b920: 55 3f 5b 49 4c 53 5d 29 3f 2f 69 2c 62 6f 6f 6c U?[ILS])?/i,bool
0000b930: 65 61 6e 3a 2f 5c 62 28 3f 3a 46 61 6c 73 65 7c ean:/\b(?:False|
0000b940: 4e 6f 74 68 69 6e 67 7c 54 72 75 65 29 5c 62 2f Nothing|True)\b/
0000b950: 69 2c 6b 65 79 77 6f 72 64 3a 2f 5c 62 28 3f 3a i,keyword:/\b(?:
0000b960: 41 64 64 48 61 6e 64 6c 65 72 7c 41 64 64 72 65 AddHandler|Addre
0000b970: 73 73 4f 66 7c 41 6c 69 61 73 7c 41 6e 64 28 3f ssOf|Alias|And(?
0000b980: 3a 41 6c 73 6f 29 3f 7c 41 73 7c 42 6f 6f 6c 65 :Also)?|As|Boole
0000b990: 61 6e 7c 42 79 52 65 66 7c 42 79 74 65 7c 42 79 an|ByRef|Byte|By
0000b9a0: 56 61 6c 7c 43 61 6c 6c 7c 43 61 73 65 7c 43 61 Val|Call|Case|Ca
0000b9b0: 74 63 68 7c 43 28 3f 3a 42 6f 6f 6c 7c 42 79 74 tch|C(?:Bool|Byt
0000b9c0: 65 7c 43 68 61 72 7c 44 61 74 65 7c 44 62 6c 7c e|Char|Date|Dbl|
0000b9d0: 44 65 63 7c 49 6e 74 7c 4c 6e 67 7c 4f 62 6a 7c Dec|Int|Lng|Obj|
0000b9e0: 53 42 79 74 65 7c 53 68 6f 72 74 7c 53 6e 67 7c SByte|Short|Sng|
0000b9f0: 53 74 72 7c 54 79 70 65 7c 55 49 6e 74 7c 55 4c Str|Type|UInt|UL
0000ba00: 6e 67 7c 55 53 68 6f 72 74 29 7c 43 68 61 72 7c ng|UShort)|Char|
0000ba10: 43 6c 61 73 73 7c 43 6f 6e 73 74 7c 43 6f 6e 74 Class|Const|Cont
0000ba20: 69 6e 75 65 7c 43 75 72 72 65 6e 63 79 7c 44 61 inue|Currency|Da
0000ba30: 74 65 7c 44 65 63 69 6d 61 6c 7c 44 65 63 6c 61 te|Decimal|Decla
0000ba40: 72 65 7c 44 65 66 61 75 6c 74 7c 44 65 6c 65 67 re|Default|Deleg
0000ba50: 61 74 65 7c 44 69 6d 7c 44 69 72 65 63 74 43 61 ate|Dim|DirectCa
0000ba60: 73 74 7c 44 6f 7c 44 6f 75 62 6c 65 7c 45 61 63 st|Do|Double|Eac
0000ba70: 68 7c 45 6c 73 65 28 3f 3a 49 66 29 3f 7c 45 6e h|Else(?:If)?|En
0000ba80: 64 28 3f 3a 49 66 29 3f 7c 45 6e 75 6d 7c 45 72 d(?:If)?|Enum|Er
0000ba90: 61 73 65 7c 45 72 72 6f 72 7c 45 76 65 6e 74 7c ase|Error|Event|
0000baa0: 45 78 69 74 7c 46 69 6e 61 6c 6c 79 7c 46 6f 72 Exit|Finally|For
0000bab0: 7c 46 72 69 65 6e 64 7c 46 75 6e 63 74 69 6f 6e |Friend|Function
0000bac0: 7c 47 65 74 28 3f 3a 54 79 70 65 7c 58 4d 4c 4e |Get(?:Type|XMLN
0000bad0: 61 6d 65 73 70 61 63 65 29 3f 7c 47 6c 6f 62 61 amespace)?|Globa
0000bae0: 6c 7c 47 6f 53 75 62 7c 47 6f 54 6f 7c 48 61 6e l|GoSub|GoTo|Han
0000baf0: 64 6c 65 73 7c 49 66 7c 49 6d 70 6c 65 6d 65 6e dles|If|Implemen
0000bb00: 74 73 7c 49 6d 70 6f 72 74 73 7c 49 6e 7c 49 6e ts|Imports|In|In
0000bb10: 68 65 72 69 74 73 7c 49 6e 74 65 67 65 72 7c 49 herits|Integer|I
0000bb20: 6e 74 65 72 66 61 63 65 7c 49 73 7c 49 73 4e 6f nterface|Is|IsNo
0000bb30: 74 7c 4c 65 74 7c 4c 69 62 7c 4c 69 6b 65 7c 4c t|Let|Lib|Like|L
0000bb40: 6f 6e 67 7c 4c 6f 6f 70 7c 4d 65 7c 4d 6f 64 7c ong|Loop|Me|Mod|
0000bb50: 4d 6f 64 75 6c 65 7c 4d 75 73 74 28 3f 3a 49 6e Module|Must(?:In
0000bb60: 68 65 72 69 74 7c 4f 76 65 72 72 69 64 65 29 7c herit|Override)|
0000bb70: 4d 79 28 3f 3a 42 61 73 65 7c 43 6c 61 73 73 29 My(?:Base|Class)
0000bb80: 7c 4e 61 6d 65 73 70 61 63 65 7c 4e 61 72 72 6f |Namespace|Narro
0000bb90: 77 69 6e 67 7c 4e 65 77 7c 4e 65 78 74 7c 4e 6f wing|New|Next|No
0000bba0: 74 28 3f 3a 49 6e 68 65 72 69 74 61 62 6c 65 7c t(?:Inheritable|
0000bbb0: 4f 76 65 72 72 69 64 61 62 6c 65 29 3f 7c 4f 62 Overridable)?|Ob
0000bbc0: 6a 65 63 74 7c 4f 66 7c 4f 6e 7c 4f 70 65 72 61 ject|Of|On|Opera
0000bbd0: 74 6f 72 7c 4f 70 74 69 6f 6e 28 3f 3a 61 6c 29 tor|Option(?:al)
0000bbe0: 3f 7c 4f 72 28 3f 3a 45 6c 73 65 29 3f 7c 4f 75 ?|Or(?:Else)?|Ou
0000bbf0: 74 7c 4f 76 65 72 6c 6f 61 64 73 7c 4f 76 65 72 t|Overloads|Over
0000bc00: 72 69 64 61 62 6c 65 7c 4f 76 65 72 72 69 64 65 ridable|Override
0000bc10: 73 7c 50 61 72 61 6d 41 72 72 61 79 7c 50 61 72 s|ParamArray|Par
0000bc20: 74 69 61 6c 7c 50 72 69 76 61 74 65 7c 50 72 6f tial|Private|Pro
0000bc30: 70 65 72 74 79 7c 50 72 6f 74 65 63 74 65 64 7c perty|Protected|
0000bc40: 50 75 62 6c 69 63 7c 52 61 69 73 65 45 76 65 6e Public|RaiseEven
0000bc50: 74 7c 52 65 61 64 4f 6e 6c 79 7c 52 65 44 69 6d t|ReadOnly|ReDim
0000bc60: 7c 52 65 6d 6f 76 65 48 61 6e 64 6c 65 72 7c 52 |RemoveHandler|R
0000bc70: 65 73 75 6d 65 7c 52 65 74 75 72 6e 7c 53 42 79 esume|Return|SBy
0000bc80: 74 65 7c 53 65 6c 65 63 74 7c 53 65 74 7c 53 68 te|Select|Set|Sh
0000bc90: 61 64 6f 77 73 7c 53 68 61 72 65 64 7c 73 68 6f adows|Shared|sho
0000bca0: 72 74 7c 53 69 6e 67 6c 65 7c 53 74 61 74 69 63 rt|Single|Static
0000bcb0: 7c 53 74 65 70 7c 53 74 6f 70 7c 53 74 72 69 6e |Step|Stop|Strin
0000bcc0: 67 7c 53 74 72 75 63 74 75 72 65 7c 53 75 62 7c g|Structure|Sub|
0000bcd0: 53 79 6e 63 4c 6f 63 6b 7c 54 68 65 6e 7c 54 68 SyncLock|Then|Th
0000bce0: 72 6f 77 7c 54 6f 7c 54 72 79 7c 54 72 79 43 61 row|To|Try|TryCa
0000bcf0: 73 74 7c 54 79 70 65 7c 54 79 70 65 4f 66 7c 55 st|Type|TypeOf|U
0000bd00: 28 3f 3a 49 6e 74 65 67 65 72 7c 4c 6f 6e 67 7c (?:Integer|Long|
0000bd10: 53 68 6f 72 74 29 7c 55 6e 74 69 6c 7c 55 73 69 Short)|Until|Usi
0000bd20: 6e 67 7c 56 61 72 69 61 6e 74 7c 57 65 6e 64 7c ng|Variant|Wend|
0000bd30: 57 68 65 6e 7c 57 68 69 6c 65 7c 57 69 64 65 6e When|While|Widen
0000bd40: 69 6e 67 7c 57 69 74 68 28 3f 3a 45 76 65 6e 74 ing|With(?:Event
0000bd50: 73 29 3f 7c 57 72 69 74 65 4f 6e 6c 79 7c 58 6f s)?|WriteOnly|Xo
0000bd60: 72 29 5c 62 2f 69 2c 6f 70 65 72 61 74 6f 72 3a r)\b/i,operator:
0000bd70: 2f 5b 2b 5c 2d 2a 2f 5c 5c 5e 3c 3d 3e 26 23 40 /[+\-*/\\^<=>&#@
0000bd80: 24 25 21 5d 7c 5c 62 5f 28 3f 3d 5b 20 5c 74 5d $%!]|\b_(?=[ \t]
0000bd90: 2a 5b 5c 72 5c 6e 5d 29 2f 2c 70 75 6e 63 74 75 *[\r\n])/,punctu
0000bda0: 61 74 69 6f 6e 3a 2f 5b 7b 7d 28 29 2e 2c 3a 3f ation:/[{}().,:?
0000bdb0: 5d 2f 7d 2c 65 2e 6c 61 6e 67 75 61 67 65 73 2e ]/},e.languages.
0000bdc0: 76 62 3d 65 2e 6c 61 6e 67 75 61 67 65 73 5b 22 vb=e.languages["
0000bdd0: 76 69 73 75 61 6c 2d 62 61 73 69 63 22 5d 2c 65 visual-basic"],e
0000bde0: 2e 6c 61 6e 67 75 61 67 65 73 2e 76 62 61 3d 65 .languages.vba=e
0000bdf0: 2e 6c 61 6e 67 75 61 67 65 73 5b 22 76 69 73 75 .languages["visu
0000be00: 61 6c 2d 62 61 73 69 63 22 5d 7d 65 2e 65 78 70 al-basic"]}e.exp
0000be10: 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 orts=t,t.display
0000be20: 4e 61 6d 65 3d 22 76 69 73 75 61 6c 42 61 73 69 Name="visualBasi
0000be30: 63 22 2c 74 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d c",t.aliases=[]}
0000be40: 2c 38 31 30 32 37 3a 66 75 6e 63 74 69 6f 6e 28 ,81027:function(
0000be50: 65 29 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b e){"use strict";
0000be60: 66 75 6e 63 74 69 6f 6e 20 74 28 65 29 7b 65 2e function t(e){e.
0000be70: 6c 61 6e 67 75 61 67 65 73 2e 77 61 72 70 73 63 languages.warpsc
0000be80: 72 69 70 74 3d 7b 63 6f 6d 6d 65 6e 74 3a 2f 23 ript={comment:/#
0000be90: 2e 2a 7c 5c 2f 5c 2f 2e 2a 7c 5c 2f 5c 2a 5b 5c .*|\/\/.*|\/\*[\
0000bea0: 73 5c 53 5d 2a 3f 5c 2a 5c 2f 2f 2c 73 74 72 69 s\S]*?\*\//,stri
0000beb0: 6e 67 3a 7b 70 61 74 74 65 72 6e 3a 2f 22 28 3f ng:{pattern:/"(?
0000bec0: 3a 5b 5e 22 5c 5c 5c 72 5c 6e 5d 7c 5c 5c 2e 29 :[^"\\\r\n]|\\.)
0000bed0: 2a 22 7c 27 28 3f 3a 5b 5e 27 5c 5c 5c 72 5c 6e *"|'(?:[^'\\\r\n
0000bee0: 5d 7c 5c 5c 2e 29 2a 27 7c 3c 27 28 3f 3a 5b 5e ]|\\.)*'|<'(?:[^
0000bef0: 5c 5c 27 5d 7c 27 28 3f 21 3e 29 7c 5c 5c 2e 29 \\']|'(?!>)|\\.)
0000bf00: 2a 27 3e 2f 2c 67 72 65 65 64 79 3a 21 30 7d 2c *'>/,greedy:!0},
0000bf10: 76 61 72 69 61 62 6c 65 3a 2f 5c 24 5c 53 2b 2f variable:/\$\S+/
0000bf20: 2c 6d 61 63 72 6f 3a 7b 70 61 74 74 65 72 6e 3a ,macro:{pattern:
0000bf30: 2f 40 5c 53 2b 2f 2c 61 6c 69 61 73 3a 22 70 72 /@\S+/,alias:"pr
0000bf40: 6f 70 65 72 74 79 22 7d 2c 6b 65 79 77 6f 72 64 operty"},keyword
0000bf50: 3a 2f 5c 62 28 3f 3a 42 52 45 41 4b 7c 43 48 45 :/\b(?:BREAK|CHE
0000bf60: 43 4b 4d 41 43 52 4f 7c 43 4f 4e 54 49 4e 55 45 CKMACRO|CONTINUE
0000bf70: 7c 43 55 44 46 7c 44 45 46 49 4e 45 44 7c 44 45 |CUDF|DEFINED|DE
0000bf80: 46 49 4e 45 44 4d 41 43 52 4f 7c 45 56 41 4c 7c FINEDMACRO|EVAL|
0000bf90: 46 41 49 4c 7c 46 4f 52 7c 46 4f 52 45 41 43 48 FAIL|FOR|FOREACH
0000bfa0: 7c 46 4f 52 53 54 45 50 7c 49 46 54 7c 49 46 54 |FORSTEP|IFT|IFT
0000bfb0: 45 7c 4d 53 47 46 41 49 4c 7c 4e 52 45 54 55 52 E|MSGFAIL|NRETUR
0000bfc0: 4e 7c 52 45 54 48 52 4f 57 7c 52 45 54 55 52 4e N|RETHROW|RETURN
0000bfd0: 7c 53 57 49 54 43 48 7c 54 52 59 7c 55 44 46 7c |SWITCH|TRY|UDF|
0000bfe0: 55 4e 54 49 4c 7c 57 48 49 4c 45 29 5c 62 2f 2c UNTIL|WHILE)\b/,
0000bff0: 6e 75 6d 62 65 72 3a 2f 5b 2b 2d 5d 3f 5c 62 28 number:/[+-]?\b(
0000c000: 3f 3a 4e 61 4e 7c 49 6e 66 69 6e 69 74 79 7c 5c ?:NaN|Infinity|\
0000c010: 64 2b 28 3f 3a 5c 2e 5c 64 2a 29 3f 28 3f 3a 5b d+(?:\.\d*)?(?:[
0000c020: 45 65 5d 5b 2b 2d 5d 3f 5c 64 2b 29 3f 7c 30 78 Ee][+-]?\d+)?|0x
0000c030: 5b 5c 64 61 2d 66 41 2d 46 5d 2b 7c 30 62 5b 30 [\da-fA-F]+|0b[0
0000c040: 31 5d 2b 29 5c 62 2f 2c 62 6f 6f 6c 65 61 6e 3a 1]+)\b/,boolean:
0000c050: 2f 5c 62 28 3f 3a 46 7c 54 7c 66 61 6c 73 65 7c /\b(?:F|T|false|
0000c060: 74 72 75 65 29 5c 62 2f 2c 70 75 6e 63 74 75 61 true)\b/,punctua
0000c070: 74 69 6f 6e 3a 2f 3c 25 7c 25 3e 7c 5b 7b 7d 5b tion:/<%|%>|[{}[
0000c080: 5c 5d 28 29 5d 2f 2c 6f 70 65 72 61 74 6f 72 3a \]()]/,operator:
0000c090: 2f 3d 3d 7c 26 26 3f 7c 5c 7c 5c 7c 3f 7c 5c 2a /==|&&?|\|\|?|\*
0000c0a0: 5c 2a 3f 7c 3e 3e 3e 3f 7c 3c 3c 7c 5b 3c 3e 21 \*?|>>>?|<<|[<>!
0000c0b0: 7e 5d 3d 3f 7c 5b 2d 2f 25 5e 5d 7c 5c 2b 21 3f ~]=?|[-/%^]|\+!?
0000c0c0: 7c 5c 62 28 3f 3a 41 4e 44 7c 4e 4f 54 7c 4f 52 |\b(?:AND|NOT|OR
0000c0d0: 29 5c 62 2f 7d 7d 65 2e 65 78 70 6f 72 74 73 3d )\b/}}e.exports=
0000c0e0: 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d t,t.displayName=
0000c0f0: 22 77 61 72 70 73 63 72 69 70 74 22 2c 74 2e 61 "warpscript",t.a
0000c100: 6c 69 61 73 65 73 3d 5b 5d 7d 2c 31 37 34 35 30 liases=[]},17450
0000c110: 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 :function(e){"us
0000c120: 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 74 69 e strict";functi
0000c130: 6f 6e 20 74 28 65 29 7b 65 2e 6c 61 6e 67 75 61 on t(e){e.langua
0000c140: 67 65 73 2e 77 61 73 6d 3d 7b 63 6f 6d 6d 65 6e ges.wasm={commen
0000c150: 74 3a 5b 2f 5c 28 3b 5b 5c 73 5c 53 5d 2a 3f 3b t:[/\(;[\s\S]*?;
0000c160: 5c 29 2f 2c 7b 70 61 74 74 65 72 6e 3a 2f 3b 3b \)/,{pattern:/;;
0000c170: 2e 2a 2f 2c 67 72 65 65 64 79 3a 21 30 7d 5d 2c .*/,greedy:!0}],
0000c180: 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 72 6e 3a string:{pattern:
0000c190: 2f 22 28 3f 3a 5c 5c 5b 5c 73 5c 53 5d 7c 5b 5e /"(?:\\[\s\S]|[^
0000c1a0: 22 5c 5c 5d 29 2a 22 2f 2c 67 72 65 65 64 79 3a "\\])*"/,greedy:
0000c1b0: 21 30 7d 2c 6b 65 79 77 6f 72 64 3a 5b 7b 70 61 !0},keyword:[{pa
0000c1c0: 74 74 65 72 6e 3a 2f 5c 62 28 3f 3a 61 6c 69 67 ttern:/\b(?:alig
0000c1d0: 6e 7c 6f 66 66 73 65 74 29 3d 2f 2c 69 6e 73 69 n|offset)=/,insi
0000c1e0: 64 65 3a 7b 6f 70 65 72 61 74 6f 72 3a 2f 3d 2f de:{operator:/=/
0000c1f0: 7d 7d 2c 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 28 }},{pattern:/\b(
0000c200: 3f 3a 28 3f 3a 66 33 32 7c 66 36 34 7c 69 33 32 ?:(?:f32|f64|i32
0000c210: 7c 69 36 34 29 28 3f 3a 5c 2e 28 3f 3a 61 62 73 |i64)(?:\.(?:abs
0000c220: 7c 61 64 64 7c 61 6e 64 7c 63 65 69 6c 7c 63 6c |add|and|ceil|cl
0000c230: 7a 7c 63 6f 6e 73 74 7c 63 6f 6e 76 65 72 74 5f z|const|convert_
0000c240: 5b 73 75 5d 5c 2f 69 28 3f 3a 33 32 7c 36 34 29 [su]\/i(?:32|64)
0000c250: 7c 63 6f 70 79 73 69 67 6e 7c 63 74 7a 7c 64 65 |copysign|ctz|de
0000c260: 6d 6f 74 65 5c 2f 66 36 34 7c 64 69 76 28 3f 3a mote\/f64|div(?:
0000c270: 5f 5b 73 75 5d 29 3f 7c 65 71 7a 3f 7c 65 78 74 _[su])?|eqz?|ext
0000c280: 65 6e 64 5f 5b 73 75 5d 5c 2f 69 33 32 7c 66 6c end_[su]\/i32|fl
0000c290: 6f 6f 72 7c 67 65 28 3f 3a 5f 5b 73 75 5d 29 3f oor|ge(?:_[su])?
0000c2a0: 7c 67 74 28 3f 3a 5f 5b 73 75 5d 29 3f 7c 6c 65 |gt(?:_[su])?|le
0000c2b0: 28 3f 3a 5f 5b 73 75 5d 29 3f 7c 6c 6f 61 64 28 (?:_[su])?|load(
0000c2c0: 3f 3a 28 3f 3a 38 7c 31 36 7c 33 32 29 5f 5b 73 ?:(?:8|16|32)_[s
0000c2d0: 75 5d 29 3f 7c 6c 74 28 3f 3a 5f 5b 73 75 5d 29 u])?|lt(?:_[su])
0000c2e0: 3f 7c 6d 61 78 7c 6d 69 6e 7c 6d 75 6c 7c 6e 65 ?|max|min|mul|ne
0000c2f0: 67 3f 7c 6e 65 61 72 65 73 74 7c 6f 72 7c 70 6f g?|nearest|or|po
0000c300: 70 63 6e 74 7c 70 72 6f 6d 6f 74 65 5c 2f 66 33 pcnt|promote\/f3
0000c310: 32 7c 72 65 69 6e 74 65 72 70 72 65 74 5c 2f 5b 2|reinterpret\/[
0000c320: 66 69 5d 28 3f 3a 33 32 7c 36 34 29 7c 72 65 6d fi](?:32|64)|rem
0000c330: 5f 5b 73 75 5d 7c 72 6f 74 5b 6c 72 5d 7c 73 68 _[su]|rot[lr]|sh
0000c340: 6c 7c 73 68 72 5f 5b 73 75 5d 7c 73 71 72 74 7c l|shr_[su]|sqrt|
0000c350: 73 74 6f 72 65 28 3f 3a 38 7c 31 36 7c 33 32 29 store(?:8|16|32)
0000c360: 3f 7c 73 75 62 7c 74 72 75 6e 63 28 3f 3a 5f 5b ?|sub|trunc(?:_[
0000c370: 73 75 5d 5c 2f 66 28 3f 3a 33 32 7c 36 34 29 29 su]\/f(?:32|64))
0000c380: 3f 7c 77 72 61 70 5c 2f 69 36 34 7c 78 6f 72 29 ?|wrap\/i64|xor)
0000c390: 29 3f 7c 6d 65 6d 6f 72 79 5c 2e 28 3f 3a 67 72 )?|memory\.(?:gr
0000c3a0: 6f 77 7c 73 69 7a 65 29 29 5c 62 2f 2c 69 6e 73 ow|size))\b/,ins
0000c3b0: 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e ide:{punctuation
0000c3c0: 3a 2f 5c 2e 2f 7d 7d 2c 2f 5c 62 28 3f 3a 61 6e :/\./}},/\b(?:an
0000c3d0: 79 66 75 6e 63 7c 62 6c 6f 63 6b 7c 62 72 28 3f yfunc|block|br(?
0000c3e0: 3a 5f 69 66 7c 5f 74 61 62 6c 65 29 3f 7c 63 61 :_if|_table)?|ca
0000c3f0: 6c 6c 28 3f 3a 5f 69 6e 64 69 72 65 63 74 29 3f ll(?:_indirect)?
0000c400: 7c 64 61 74 61 7c 64 72 6f 70 7c 65 6c 65 6d 7c |data|drop|elem|
0000c410: 65 6c 73 65 7c 65 6e 64 7c 65 78 70 6f 72 74 7c else|end|export|
0000c420: 66 75 6e 63 7c 67 65 74 5f 28 3f 3a 67 6c 6f 62 func|get_(?:glob
0000c430: 61 6c 7c 6c 6f 63 61 6c 29 7c 67 6c 6f 62 61 6c al|local)|global
0000c440: 7c 69 66 7c 69 6d 70 6f 72 74 7c 6c 6f 63 61 6c |if|import|local
0000c450: 7c 6c 6f 6f 70 7c 6d 65 6d 6f 72 79 7c 6d 6f 64 |loop|memory|mod
0000c460: 75 6c 65 7c 6d 75 74 7c 6e 6f 70 7c 6f 66 66 73 ule|mut|nop|offs
0000c470: 65 74 7c 70 61 72 61 6d 7c 72 65 73 75 6c 74 7c et|param|result|
0000c480: 72 65 74 75 72 6e 7c 73 65 6c 65 63 74 7c 73 65 return|select|se
0000c490: 74 5f 28 3f 3a 67 6c 6f 62 61 6c 7c 6c 6f 63 61 t_(?:global|loca
0000c4a0: 6c 29 7c 73 74 61 72 74 7c 74 61 62 6c 65 7c 74 l)|start|table|t
0000c4b0: 65 65 5f 6c 6f 63 61 6c 7c 74 68 65 6e 7c 74 79 ee_local|then|ty
0000c4c0: 70 65 7c 75 6e 72 65 61 63 68 61 62 6c 65 29 5c pe|unreachable)\
0000c4d0: 62 2f 5d 2c 76 61 72 69 61 62 6c 65 3a 2f 5c 24 b/],variable:/\$
0000c4e0: 5b 5c 77 21 23 24 25 26 27 2a 2b 5c 2d 2e 2f 3a [\w!#$%&'*+\-./:
0000c4f0: 3c 3d 3e 3f 40 5c 5c 5e 60 7c 7e 5d 2b 2f 2c 6e <=>?@\\^`|~]+/,n
0000c500: 75 6d 62 65 72 3a 2f 5b 2b 2d 5d 3f 5c 62 28 3f umber:/[+-]?\b(?
0000c510: 3a 5c 64 28 3f 3a 5f 3f 5c 64 29 2a 28 3f 3a 5c :\d(?:_?\d)*(?:\
0000c520: 2e 5c 64 28 3f 3a 5f 3f 5c 64 29 2a 29 3f 28 3f .\d(?:_?\d)*)?(?
0000c530: 3a 5b 65 45 5d 5b 2b 2d 5d 3f 5c 64 28 3f 3a 5f :[eE][+-]?\d(?:_
0000c540: 3f 5c 64 29 2a 29 3f 7c 30 78 5b 5c 64 61 2d 66 ?\d)*)?|0x[\da-f
0000c550: 41 2d 46 5d 28 3f 3a 5f 3f 5b 5c 64 61 2d 66 41 A-F](?:_?[\da-fA
0000c560: 2d 46 5d 29 2a 28 3f 3a 5c 2e 5b 5c 64 61 2d 66 -F])*(?:\.[\da-f
0000c570: 41 2d 46 5d 28 3f 3a 5f 3f 5b 5c 64 61 2d 66 41 A-F](?:_?[\da-fA
0000c580: 2d 44 5d 29 2a 29 3f 28 3f 3a 5b 70 50 5d 5b 2b -D])*)?(?:[pP][+
0000c590: 2d 5d 3f 5c 64 28 3f 3a 5f 3f 5c 64 29 2a 29 3f -]?\d(?:_?\d)*)?
0000c5a0: 29 5c 62 7c 5c 62 69 6e 66 5c 62 7c 5c 62 6e 61 )\b|\binf\b|\bna
0000c5b0: 6e 28 3f 3a 3a 30 78 5b 5c 64 61 2d 66 41 2d 46 n(?::0x[\da-fA-F
0000c5c0: 5d 28 3f 3a 5f 3f 5b 5c 64 61 2d 66 41 2d 44 5d ](?:_?[\da-fA-D]
0000c5d0: 29 2a 29 3f 5c 62 2f 2c 70 75 6e 63 74 75 61 74 )*)?\b/,punctuat
0000c5e0: 69 6f 6e 3a 2f 5b 28 29 5d 2f 7d 7d 65 2e 65 78 ion:/[()]/}}e.ex
0000c5f0: 70 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 ports=t,t.displa
0000c600: 79 4e 61 6d 65 3d 22 77 61 73 6d 22 2c 74 2e 61 yName="wasm",t.a
0000c610: 6c 69 61 73 65 73 3d 5b 5d 7d 2c 38 37 38 34 31 liases=[]},87841
0000c620: 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 :function(e){"us
0000c630: 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 74 69 e strict";functi
0000c640: 6f 6e 20 74 28 65 29 7b 21 66 75 6e 63 74 69 6f on t(e){!functio
0000c650: 6e 28 65 29 7b 76 61 72 20 74 3d 2f 28 3f 3a 5c n(e){var t=/(?:\
0000c660: 42 2d 7c 5c 62 5f 7c 5c 62 29 5b 41 2d 5a 61 2d B-|\b_|\b)[A-Za-
0000c670: 7a 5d 5b 5c 77 2d 5d 2a 28 3f 21 5b 5c 77 2d 5d z][\w-]*(?![\w-]
0000c680: 29 2f 2e 73 6f 75 72 63 65 2c 6e 3d 22 28 3f 3a )/.source,n="(?:
0000c690: 22 2b 2f 5c 62 28 3f 3a 75 6e 73 69 67 6e 65 64 "+/\b(?:unsigned
0000c6a0: 5c 73 2b 29 3f 6c 6f 6e 67 5c 73 2b 6c 6f 6e 67 \s+)?long\s+long
0000c6b0: 28 3f 21 5b 5c 77 2d 5d 29 2f 2e 73 6f 75 72 63 (?![\w-])/.sourc
0000c6c0: 65 2b 22 7c 22 2b 2f 5c 62 28 3f 3a 75 6e 72 65 e+"|"+/\b(?:unre
0000c6d0: 73 74 72 69 63 74 65 64 7c 75 6e 73 69 67 6e 65 stricted|unsigne
0000c6e0: 64 29 5c 73 2b 5b 61 2d 7a 5d 2b 28 3f 21 5b 5c d)\s+[a-z]+(?![\
0000c6f0: 77 2d 5d 29 2f 2e 73 6f 75 72 63 65 2b 22 7c 22 w-])/.source+"|"
0000c700: 2b 2f 28 3f 21 28 3f 3a 75 6e 72 65 73 74 72 69 +/(?!(?:unrestri
0000c710: 63 74 65 64 7c 75 6e 73 69 67 6e 65 64 29 5c 62 cted|unsigned)\b
0000c720: 29 2f 2e 73 6f 75 72 63 65 2b 74 2b 2f 28 3f 3a )/.source+t+/(?:
0000c730: 5c 73 2a 3c 28 3f 3a 5b 5e 3c 3e 5d 7c 3c 5b 5e \s*<(?:[^<>]|<[^
0000c740: 3c 3e 5d 2a 3e 29 2a 3e 29 3f 2f 2e 73 6f 75 72 <>]*>)*>)?/.sour
0000c750: 63 65 2b 22 29 22 2b 2f 28 3f 3a 5c 73 2a 5c 3f ce+")"+/(?:\s*\?
0000c760: 29 3f 2f 2e 73 6f 75 72 63 65 2c 72 3d 7b 7d 3b )?/.source,r={};
0000c770: 66 6f 72 28 76 61 72 20 6f 20 69 6e 20 65 2e 6c for(var o in e.l
0000c780: 61 6e 67 75 61 67 65 73 5b 22 77 65 62 2d 69 64 anguages["web-id
0000c790: 6c 22 5d 3d 7b 63 6f 6d 6d 65 6e 74 3a 7b 70 61 l"]={comment:{pa
0000c7a0: 74 74 65 72 6e 3a 2f 5c 2f 5c 2f 2e 2a 7c 5c 2f ttern:/\/\/.*|\/
0000c7b0: 5c 2a 5b 5c 73 5c 53 5d 2a 3f 5c 2a 5c 2f 2f 2c \*[\s\S]*?\*\//,
0000c7c0: 67 72 65 65 64 79 3a 21 30 7d 2c 73 74 72 69 6e greedy:!0},strin
0000c7d0: 67 3a 7b 70 61 74 74 65 72 6e 3a 2f 22 5b 5e 22 g:{pattern:/"[^"
0000c7e0: 5d 2a 22 2f 2c 67 72 65 65 64 79 3a 21 30 7d 2c ]*"/,greedy:!0},
0000c7f0: 6e 61 6d 65 73 70 61 63 65 3a 7b 70 61 74 74 65 namespace:{patte
0000c800: 72 6e 3a 52 65 67 45 78 70 28 2f 28 5c 62 6e 61 rn:RegExp(/(\bna
0000c810: 6d 65 73 70 61 63 65 5c 73 2b 29 2f 2e 73 6f 75 mespace\s+)/.sou
0000c820: 72 63 65 2b 74 29 2c 6c 6f 6f 6b 62 65 68 69 6e rce+t),lookbehin
0000c830: 64 3a 21 30 7d 2c 22 63 6c 61 73 73 2d 6e 61 6d d:!0},"class-nam
0000c840: 65 22 3a 5b 7b 70 61 74 74 65 72 6e 3a 2f 28 5e e":[{pattern:/(^
0000c850: 7c 5b 5e 5c 77 2d 5d 29 28 3f 3a 69 74 65 72 61 |[^\w-])(?:itera
0000c860: 62 6c 65 7c 6d 61 70 6c 69 6b 65 7c 73 65 74 6c ble|maplike|setl
0000c870: 69 6b 65 29 5c 73 2a 3c 28 3f 3a 5b 5e 3c 3e 5d ike)\s*<(?:[^<>]
0000c880: 7c 3c 5b 5e 3c 3e 5d 2a 3e 29 2a 3e 2f 2c 6c 6f |<[^<>]*>)*>/,lo
0000c890: 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 6e 73 69 okbehind:!0,insi
0000c8a0: 64 65 3a 72 7d 2c 7b 70 61 74 74 65 72 6e 3a 52 de:r},{pattern:R
0000c8b0: 65 67 45 78 70 28 2f 28 5c 62 28 3f 3a 61 74 74 egExp(/(\b(?:att
0000c8c0: 72 69 62 75 74 65 7c 63 6f 6e 73 74 7c 64 65 6c ribute|const|del
0000c8d0: 65 74 65 72 7c 67 65 74 74 65 72 7c 6f 70 74 69 eter|getter|opti
0000c8e0: 6f 6e 61 6c 7c 73 65 74 74 65 72 29 5c 73 2b 29 onal|setter)\s+)
0000c8f0: 2f 2e 73 6f 75 72 63 65 2b 6e 29 2c 6c 6f 6f 6b /.source+n),look
0000c900: 62 65 68 69 6e 64 3a 21 30 2c 69 6e 73 69 64 65 behind:!0,inside
0000c910: 3a 72 7d 2c 7b 70 61 74 74 65 72 6e 3a 52 65 67 :r},{pattern:Reg
0000c920: 45 78 70 28 22 28 22 2b 2f 5c 62 63 61 6c 6c 62 Exp("("+/\bcallb
0000c930: 61 63 6b 5c 73 2b 2f 2e 73 6f 75 72 63 65 2b 74 ack\s+/.source+t
0000c940: 2b 2f 5c 73 2a 3d 5c 73 2a 2f 2e 73 6f 75 72 63 +/\s*=\s*/.sourc
0000c950: 65 2b 22 29 22 2b 6e 29 2c 6c 6f 6f 6b 62 65 68 e+")"+n),lookbeh
0000c960: 69 6e 64 3a 21 30 2c 69 6e 73 69 64 65 3a 72 7d ind:!0,inside:r}
0000c970: 2c 7b 70 61 74 74 65 72 6e 3a 52 65 67 45 78 70 ,{pattern:RegExp
0000c980: 28 2f 28 5c 62 74 79 70 65 64 65 66 5c 62 5c 73 (/(\btypedef\b\s
0000c990: 2a 29 2f 2e 73 6f 75 72 63 65 2b 6e 29 2c 6c 6f *)/.source+n),lo
0000c9a0: 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 6e 73 69 okbehind:!0,insi
0000c9b0: 64 65 3a 72 7d 2c 7b 70 61 74 74 65 72 6e 3a 52 de:r},{pattern:R
0000c9c0: 65 67 45 78 70 28 2f 28 5c 62 28 3f 3a 63 61 6c egExp(/(\b(?:cal
0000c9d0: 6c 62 61 63 6b 7c 64 69 63 74 69 6f 6e 61 72 79 lback|dictionary
0000c9e0: 7c 65 6e 75 6d 7c 69 6e 74 65 72 66 61 63 65 28 |enum|interface(
0000c9f0: 3f 3a 5c 73 2b 6d 69 78 69 6e 29 3f 29 5c 73 2b ?:\s+mixin)?)\s+
0000ca00: 29 28 3f 21 28 3f 3a 69 6e 74 65 72 66 61 63 65 )(?!(?:interface
0000ca10: 7c 6d 69 78 69 6e 29 5c 62 29 2f 2e 73 6f 75 72 |mixin)\b)/.sour
0000ca20: 63 65 2b 74 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 ce+t),lookbehind
0000ca30: 3a 21 30 7d 2c 7b 70 61 74 74 65 72 6e 3a 52 65 :!0},{pattern:Re
0000ca40: 67 45 78 70 28 2f 28 3a 5c 73 2a 29 2f 2e 73 6f gExp(/(:\s*)/.so
0000ca50: 75 72 63 65 2b 74 29 2c 6c 6f 6f 6b 62 65 68 69 urce+t),lookbehi
0000ca60: 6e 64 3a 21 30 7d 2c 52 65 67 45 78 70 28 74 2b nd:!0},RegExp(t+
0000ca70: 2f 28 3f 3d 5c 73 2b 28 3f 3a 69 6d 70 6c 65 6d /(?=\s+(?:implem
0000ca80: 65 6e 74 73 7c 69 6e 63 6c 75 64 65 73 29 5c 62 ents|includes)\b
0000ca90: 29 2f 2e 73 6f 75 72 63 65 29 2c 7b 70 61 74 74 )/.source),{patt
0000caa0: 65 72 6e 3a 52 65 67 45 78 70 28 2f 28 5c 62 28 ern:RegExp(/(\b(
0000cab0: 3f 3a 69 6d 70 6c 65 6d 65 6e 74 73 7c 69 6e 63 ?:implements|inc
0000cac0: 6c 75 64 65 73 29 5c 73 2b 29 2f 2e 73 6f 75 72 ludes)\s+)/.sour
0000cad0: 63 65 2b 74 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 ce+t),lookbehind
0000cae0: 3a 21 30 7d 2c 7b 70 61 74 74 65 72 6e 3a 52 65 :!0},{pattern:Re
0000caf0: 67 45 78 70 28 6e 2b 22 28 3f 3d 22 2b 2f 5c 73 gExp(n+"(?="+/\s
0000cb00: 2a 28 3f 3a 5c 2e 7b 33 7d 5c 73 2a 29 3f 2f 2e *(?:\.{3}\s*)?/.
0000cb10: 73 6f 75 72 63 65 2b 74 2b 2f 5c 73 2a 5b 28 29 source+t+/\s*[()
0000cb20: 2c 3b 3d 5d 2f 2e 73 6f 75 72 63 65 2b 22 29 22 ,;=]/.source+")"
0000cb30: 29 2c 69 6e 73 69 64 65 3a 72 7d 5d 2c 62 75 69 ),inside:r}],bui
0000cb40: 6c 74 69 6e 3a 2f 5c 62 28 3f 3a 41 72 72 61 79 ltin:/\b(?:Array
0000cb50: 42 75 66 66 65 72 7c 42 69 67 49 6e 74 36 34 41 Buffer|BigInt64A
0000cb60: 72 72 61 79 7c 42 69 67 55 69 6e 74 36 34 41 72 rray|BigUint64Ar
0000cb70: 72 61 79 7c 42 79 74 65 53 74 72 69 6e 67 7c 44 ray|ByteString|D
0000cb80: 4f 4d 53 74 72 69 6e 67 7c 44 61 74 61 56 69 65 OMString|DataVie
0000cb90: 77 7c 46 6c 6f 61 74 33 32 41 72 72 61 79 7c 46 w|Float32Array|F
0000cba0: 6c 6f 61 74 36 34 41 72 72 61 79 7c 46 72 6f 7a loat64Array|Froz
0000cbb0: 65 6e 41 72 72 61 79 7c 49 6e 74 31 36 41 72 72 enArray|Int16Arr
0000cbc0: 61 79 7c 49 6e 74 33 32 41 72 72 61 79 7c 49 6e ay|Int32Array|In
0000cbd0: 74 38 41 72 72 61 79 7c 4f 62 73 65 72 76 61 62 t8Array|Observab
0000cbe0: 6c 65 41 72 72 61 79 7c 50 72 6f 6d 69 73 65 7c leArray|Promise|
0000cbf0: 55 53 56 53 74 72 69 6e 67 7c 55 69 6e 74 31 36 USVString|Uint16
0000cc00: 41 72 72 61 79 7c 55 69 6e 74 33 32 41 72 72 61 Array|Uint32Arra
0000cc10: 79 7c 55 69 6e 74 38 41 72 72 61 79 7c 55 69 6e y|Uint8Array|Uin
0000cc20: 74 38 43 6c 61 6d 70 65 64 41 72 72 61 79 29 5c t8ClampedArray)\
0000cc30: 62 2f 2c 6b 65 79 77 6f 72 64 3a 5b 2f 5c 62 28 b/,keyword:[/\b(
0000cc40: 3f 3a 61 73 79 6e 63 7c 61 74 74 72 69 62 75 74 ?:async|attribut
0000cc50: 65 7c 63 61 6c 6c 62 61 63 6b 7c 63 6f 6e 73 74 e|callback|const
0000cc60: 7c 63 6f 6e 73 74 72 75 63 74 6f 72 7c 64 65 6c |constructor|del
0000cc70: 65 74 65 72 7c 64 69 63 74 69 6f 6e 61 72 79 7c eter|dictionary|
0000cc80: 65 6e 75 6d 7c 67 65 74 74 65 72 7c 69 6d 70 6c enum|getter|impl
0000cc90: 65 6d 65 6e 74 73 7c 69 6e 63 6c 75 64 65 73 7c ements|includes|
0000cca0: 69 6e 68 65 72 69 74 7c 69 6e 74 65 72 66 61 63 inherit|interfac
0000ccb0: 65 7c 6d 69 78 69 6e 7c 6e 61 6d 65 73 70 61 63 e|mixin|namespac
0000ccc0: 65 7c 6e 75 6c 6c 7c 6f 70 74 69 6f 6e 61 6c 7c e|null|optional|
0000ccd0: 6f 72 7c 70 61 72 74 69 61 6c 7c 72 65 61 64 6f or|partial|reado
0000cce0: 6e 6c 79 7c 72 65 71 75 69 72 65 64 7c 73 65 74 nly|required|set
0000ccf0: 74 65 72 7c 73 74 61 74 69 63 7c 73 74 72 69 6e ter|static|strin
0000cd00: 67 69 66 69 65 72 7c 74 79 70 65 64 65 66 7c 75 gifier|typedef|u
0000cd10: 6e 72 65 73 74 72 69 63 74 65 64 29 5c 62 2f 2c nrestricted)\b/,
0000cd20: 2f 5c 62 28 3f 3a 61 6e 79 7c 62 69 67 69 6e 74 /\b(?:any|bigint
0000cd30: 7c 62 6f 6f 6c 65 61 6e 7c 62 79 74 65 7c 64 6f |boolean|byte|do
0000cd40: 75 62 6c 65 7c 66 6c 6f 61 74 7c 69 74 65 72 61 uble|float|itera
0000cd50: 62 6c 65 7c 6c 6f 6e 67 7c 6d 61 70 6c 69 6b 65 ble|long|maplike
0000cd60: 7c 6f 62 6a 65 63 74 7c 6f 63 74 65 74 7c 72 65 |object|octet|re
0000cd70: 63 6f 72 64 7c 73 65 71 75 65 6e 63 65 7c 73 65 cord|sequence|se
0000cd80: 74 6c 69 6b 65 7c 73 68 6f 72 74 7c 73 79 6d 62 tlike|short|symb
0000cd90: 6f 6c 7c 75 6e 64 65 66 69 6e 65 64 7c 75 6e 73 ol|undefined|uns
0000cda0: 69 67 6e 65 64 7c 76 6f 69 64 29 5c 62 2f 5d 2c igned|void)\b/],
0000cdb0: 62 6f 6f 6c 65 61 6e 3a 2f 5c 62 28 3f 3a 66 61 boolean:/\b(?:fa
0000cdc0: 6c 73 65 7c 74 72 75 65 29 5c 62 2f 2c 6e 75 6d lse|true)\b/,num
0000cdd0: 62 65 72 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5e ber:{pattern:/(^
0000cde0: 7c 5b 5e 5c 77 2d 5d 29 2d 3f 28 3f 3a 30 78 5b |[^\w-])-?(?:0x[
0000cdf0: 30 2d 39 61 2d 66 5d 2b 7c 28 3f 3a 5c 64 2b 28 0-9a-f]+|(?:\d+(
0000ce00: 3f 3a 5c 2e 5c 64 2a 29 3f 7c 5c 2e 5c 64 2b 29 ?:\.\d*)?|\.\d+)
0000ce10: 28 3f 3a 65 5b 2b 2d 5d 3f 5c 64 2b 29 3f 7c 4e (?:e[+-]?\d+)?|N
0000ce20: 61 4e 7c 49 6e 66 69 6e 69 74 79 29 28 3f 21 5b aN|Infinity)(?![
0000ce30: 5c 77 2d 5d 29 2f 69 2c 6c 6f 6f 6b 62 65 68 69 \w-])/i,lookbehi
0000ce40: 6e 64 3a 21 30 7d 2c 6f 70 65 72 61 74 6f 72 3a nd:!0},operator:
0000ce50: 2f 5c 2e 7b 33 7d 7c 5b 3d 3a 3f 3c 3e 2d 5d 2f /\.{3}|[=:?<>-]/
0000ce60: 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 28 ,punctuation:/[(
0000ce70: 29 7b 7d 5b 5c 5d 2e 2c 3b 5d 2f 7d 2c 65 2e 6c ){}[\].,;]/},e.l
0000ce80: 61 6e 67 75 61 67 65 73 5b 22 77 65 62 2d 69 64 anguages["web-id
0000ce90: 6c 22 5d 29 22 63 6c 61 73 73 2d 6e 61 6d 65 22 l"])"class-name"
0000cea0: 21 3d 3d 6f 26 26 28 72 5b 6f 5d 3d 65 2e 6c 61 !==o&&(r[o]=e.la
0000ceb0: 6e 67 75 61 67 65 73 5b 22 77 65 62 2d 69 64 6c nguages["web-idl
0000cec0: 22 5d 5b 6f 5d 29 3b 65 2e 6c 61 6e 67 75 61 67 "][o]);e.languag
0000ced0: 65 73 2e 77 65 62 69 64 6c 3d 65 2e 6c 61 6e 67 es.webidl=e.lang
0000cee0: 75 61 67 65 73 5b 22 77 65 62 2d 69 64 6c 22 5d uages["web-idl"]
0000cef0: 7d 28 65 29 7d 65 2e 65 78 70 6f 72 74 73 3d 74 }(e)}e.exports=t
0000cf00: 2c 74 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 ,t.displayName="
0000cf10: 77 65 62 49 64 6c 22 2c 74 2e 61 6c 69 61 73 65 webIdl",t.aliase
0000cf20: 73 3d 5b 5d 7d 2c 37 39 30 30 36 3a 66 75 6e 63 s=[]},79006:func
0000cf30: 74 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 74 72 tion(e){"use str
0000cf40: 69 63 74 22 3b 66 75 6e 63 74 69 6f 6e 20 74 28 ict";function t(
0000cf50: 65 29 7b 65 2e 6c 61 6e 67 75 61 67 65 73 2e 77 e){e.languages.w
0000cf60: 69 6b 69 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e iki=e.languages.
0000cf70: 65 78 74 65 6e 64 28 22 6d 61 72 6b 75 70 22 2c extend("markup",
0000cf80: 7b 22 62 6c 6f 63 6b 2d 63 6f 6d 6d 65 6e 74 22 {"block-comment"
0000cf90: 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 7c 5b 5e :{pattern:/(^|[^
0000cfa0: 5c 5c 5d 29 5c 2f 5c 2a 5b 5c 73 5c 53 5d 2a 3f \\])\/\*[\s\S]*?
0000cfb0: 5c 2a 5c 2f 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 \*\//,lookbehind
0000cfc0: 3a 21 30 2c 61 6c 69 61 73 3a 22 63 6f 6d 6d 65 :!0,alias:"comme
0000cfd0: 6e 74 22 7d 2c 68 65 61 64 69 6e 67 3a 7b 70 61 nt"},heading:{pa
0000cfe0: 74 74 65 72 6e 3a 2f 5e 28 3d 2b 29 5b 5e 3d 5c ttern:/^(=+)[^=\
0000cff0: 72 5c 6e 5d 2e 2a 3f 5c 31 2f 6d 2c 69 6e 73 69 r\n].*?\1/m,insi
0000d000: 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e 3a de:{punctuation:
0000d010: 2f 5e 3d 2b 7c 3d 2b 24 2f 2c 69 6d 70 6f 72 74 /^=+|=+$/,import
0000d020: 61 6e 74 3a 2f 2e 2b 2f 7d 7d 2c 65 6d 70 68 61 ant:/.+/}},empha
0000d030: 73 69 73 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 27 sis:{pattern:/('
0000d040: 7b 32 2c 35 7d 29 2e 2b 3f 5c 31 2f 2c 69 6e 73 {2,5}).+?\1/,ins
0000d050: 69 64 65 3a 7b 22 62 6f 6c 64 2d 69 74 61 6c 69 ide:{"bold-itali
0000d060: 63 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 27 27 c":{pattern:/(''
0000d070: 27 27 27 29 2e 2b 3f 28 3f 3d 5c 31 29 2f 2c 6c ''').+?(?=\1)/,l
0000d080: 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 61 6c 69 ookbehind:!0,ali
0000d090: 61 73 3a 5b 22 62 6f 6c 64 22 2c 22 69 74 61 6c as:["bold","ital
0000d0a0: 69 63 22 5d 7d 2c 62 6f 6c 64 3a 7b 70 61 74 74 ic"]},bold:{patt
0000d0b0: 65 72 6e 3a 2f 28 27 27 27 29 5b 5e 27 5d 28 3f ern:/(''')[^'](?
0000d0c0: 3a 2e 2a 3f 5b 5e 27 5d 29 3f 28 3f 3d 5c 31 29 :.*?[^'])?(?=\1)
0000d0d0: 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d /,lookbehind:!0}
0000d0e0: 2c 69 74 61 6c 69 63 3a 7b 70 61 74 74 65 72 6e ,italic:{pattern
0000d0f0: 3a 2f 28 27 27 29 5b 5e 27 5d 28 3f 3a 2e 2a 3f :/('')[^'](?:.*?
0000d100: 5b 5e 27 5d 29 3f 28 3f 3d 5c 31 29 2f 2c 6c 6f [^'])?(?=\1)/,lo
0000d110: 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 70 75 6e okbehind:!0},pun
0000d120: 63 74 75 61 74 69 6f 6e 3a 2f 5e 27 27 2b 7c 27 ctuation:/^''+|'
0000d130: 27 2b 24 2f 7d 7d 2c 68 72 3a 7b 70 61 74 74 65 '+$/}},hr:{patte
0000d140: 72 6e 3a 2f 5e 2d 7b 34 2c 7d 2f 6d 2c 61 6c 69 rn:/^-{4,}/m,ali
0000d150: 61 73 3a 22 70 75 6e 63 74 75 61 74 69 6f 6e 22 as:"punctuation"
0000d160: 7d 2c 75 72 6c 3a 5b 2f 49 53 42 4e 20 2b 28 3f },url:[/ISBN +(?
0000d170: 3a 39 37 5b 38 39 5d 5b 20 2d 5d 3f 29 3f 28 3f :97[89][ -]?)?(?
0000d180: 3a 5c 64 5b 20 2d 5d 3f 29 7b 39 7d 5b 5c 64 78 :\d[ -]?){9}[\dx
0000d190: 5d 5c 62 7c 28 3f 3a 50 4d 49 44 7c 52 46 43 29 ]\b|(?:PMID|RFC)
0000d1a0: 20 2b 5c 64 2b 2f 69 2c 2f 5c 5b 5c 5b 2e 2b 3f +\d+/i,/\[\[.+?
0000d1b0: 5c 5d 5c 5d 7c 5c 5b 2e 2b 3f 5c 5d 2f 5d 2c 76 \]\]|\[.+?\]/],v
0000d1c0: 61 72 69 61 62 6c 65 3a 5b 2f 5f 5f 5b 41 2d 5a ariable:[/__[A-Z
0000d1d0: 5d 2b 5f 5f 2f 2c 2f 5c 7b 7b 33 7d 2e 2b 3f 5c ]+__/,/\{{3}.+?\
0000d1e0: 7d 7b 33 7d 2f 2c 2f 5c 7b 5c 7b 2e 2b 3f 5c 7d }{3}/,/\{\{.+?\}
0000d1f0: 5c 7d 2f 5d 2c 73 79 6d 62 6f 6c 3a 5b 2f 5e 23 \}/],symbol:[/^#
0000d200: 72 65 64 69 72 65 63 74 2f 69 6d 2c 2f 7e 7b 33 redirect/im,/~{3
0000d210: 2c 35 7d 2f 5d 2c 22 74 61 62 6c 65 2d 74 61 67 ,5}/],"table-tag
0000d220: 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 28 3f 3a ":{pattern:/((?:
0000d230: 5e 7c 5b 7c 21 5d 29 5b 7c 21 5d 29 5b 5e 7c 5c ^|[|!])[|!])[^|\
0000d240: 72 5c 6e 5d 2b 5c 7c 28 3f 21 5c 7c 29 2f 6d 2c r\n]+\|(?!\|)/m,
0000d250: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 6e lookbehind:!0,in
0000d260: 73 69 64 65 3a 7b 22 74 61 62 6c 65 2d 62 61 72 side:{"table-bar
0000d270: 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 7c 24 2f ":{pattern:/\|$/
0000d280: 2c 61 6c 69 61 73 3a 22 70 75 6e 63 74 75 61 74 ,alias:"punctuat
0000d290: 69 6f 6e 22 7d 2c 72 65 73 74 3a 65 2e 6c 61 6e ion"},rest:e.lan
0000d2a0: 67 75 61 67 65 73 2e 6d 61 72 6b 75 70 2e 74 61 guages.markup.ta
0000d2b0: 67 2e 69 6e 73 69 64 65 7d 7d 2c 70 75 6e 63 74 g.inside}},punct
0000d2c0: 75 61 74 69 6f 6e 3a 2f 5e 28 3f 3a 5c 7b 5c 7c uation:/^(?:\{\|
0000d2d0: 7c 5c 7c 5c 7d 7c 5c 7c 2d 7c 5b 2a 23 3a 3b 21 |\|\}|\|-|[*#:;!
0000d2e0: 7c 5d 29 7c 5c 7c 5c 7c 7c 21 21 2f 6d 7d 29 2c |])|\|\||!!/m}),
0000d2f0: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 69 6e 73 65 e.languages.inse
0000d300: 72 74 42 65 66 6f 72 65 28 22 77 69 6b 69 22 2c rtBefore("wiki",
0000d310: 22 74 61 67 22 2c 7b 6e 6f 77 69 6b 69 3a 7b 70 "tag",{nowiki:{p
0000d320: 61 74 74 65 72 6e 3a 2f 3c 28 6e 6f 77 69 6b 69 attern:/<(nowiki
0000d330: 7c 70 72 65 7c 73 6f 75 72 63 65 29 5c 62 5b 5e |pre|source)\b[^
0000d340: 3e 5d 2a 3e 5b 5c 73 5c 53 5d 2a 3f 3c 5c 2f 5c >]*>[\s\S]*?<\/\
0000d350: 31 3e 2f 69 2c 69 6e 73 69 64 65 3a 7b 74 61 67 1>/i,inside:{tag
0000d360: 3a 7b 70 61 74 74 65 72 6e 3a 2f 3c 28 3f 3a 6e :{pattern:/<(?:n
0000d370: 6f 77 69 6b 69 7c 70 72 65 7c 73 6f 75 72 63 65 owiki|pre|source
0000d380: 29 5c 62 5b 5e 3e 5d 2a 3e 7c 3c 5c 2f 28 3f 3a )\b[^>]*>|<\/(?:
0000d390: 6e 6f 77 69 6b 69 7c 70 72 65 7c 73 6f 75 72 63 nowiki|pre|sourc
0000d3a0: 65 29 3e 2f 69 2c 69 6e 73 69 64 65 3a 65 2e 6c e)>/i,inside:e.l
0000d3b0: 61 6e 67 75 61 67 65 73 2e 6d 61 72 6b 75 70 2e anguages.markup.
0000d3c0: 74 61 67 2e 69 6e 73 69 64 65 7d 7d 7d 7d 29 7d tag.inside}}}})}
0000d3d0: 65 2e 65 78 70 6f 72 74 73 3d 74 2c 74 2e 64 69 e.exports=t,t.di
0000d3e0: 73 70 6c 61 79 4e 61 6d 65 3d 22 77 69 6b 69 22 splayName="wiki"
0000d3f0: 2c 74 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d 2c 34 ,t.aliases=[]},4
0000d400: 30 30 37 32 3a 66 75 6e 63 74 69 6f 6e 28 65 29 0072:function(e)
0000d410: 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b 66 75 {"use strict";fu
0000d420: 6e 63 74 69 6f 6e 20 74 28 65 29 7b 65 2e 6c 61 nction t(e){e.la
0000d430: 6e 67 75 61 67 65 73 2e 77 6f 6c 66 72 61 6d 3d nguages.wolfram=
0000d440: 7b 63 6f 6d 6d 65 6e 74 3a 2f 5c 28 5c 2a 28 3f {comment:/\(\*(?
0000d450: 3a 5c 28 5c 2a 28 3f 3a 5b 5e 2a 5d 7c 5c 2a 28 :\(\*(?:[^*]|\*(
0000d460: 3f 21 5c 29 29 29 2a 5c 2a 5c 29 7c 28 3f 21 5c ?!\)))*\*\)|(?!\
0000d470: 28 5c 2a 29 5b 5c 73 5c 53 5d 29 2a 3f 5c 2a 5c (\*)[\s\S])*?\*\
0000d480: 29 2f 2c 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 )/,string:{patte
0000d490: 72 6e 3a 2f 22 28 3f 3a 5c 5c 2e 7c 5b 5e 22 5c rn:/"(?:\\.|[^"\
0000d4a0: 5c 5c 72 5c 6e 5d 29 2a 22 2f 2c 67 72 65 65 64 \\r\n])*"/,greed
0000d4b0: 79 3a 21 30 7d 2c 6b 65 79 77 6f 72 64 3a 2f 5c y:!0},keyword:/\
0000d4c0: 62 28 3f 3a 41 62 73 7c 41 62 73 41 72 67 7c 41 b(?:Abs|AbsArg|A
0000d4d0: 63 63 75 72 61 63 79 7c 42 6c 6f 63 6b 7c 44 6f ccuracy|Block|Do
0000d4e0: 7c 46 6f 72 7c 46 75 6e 63 74 69 6f 6e 7c 49 66 |For|Function|If
0000d4f0: 7c 4d 61 6e 69 70 75 6c 61 74 65 7c 4d 6f 64 75 |Manipulate|Modu
0000d500: 6c 65 7c 4e 65 73 74 7c 4e 65 73 74 4c 69 73 74 le|Nest|NestList
0000d510: 7c 4e 6f 6e 65 7c 52 65 74 75 72 6e 7c 53 77 69 |None|Return|Swi
0000d520: 74 63 68 7c 54 61 62 6c 65 7c 57 68 69 63 68 7c tch|Table|Which|
0000d530: 57 68 69 6c 65 29 5c 62 2f 2c 63 6f 6e 74 65 78 While)\b/,contex
0000d540: 74 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 5c 77 t:{pattern:/\b\w
0000d550: 2b 60 2b 5c 77 2a 2f 2c 61 6c 69 61 73 3a 22 63 +`+\w*/,alias:"c
0000d560: 6c 61 73 73 2d 6e 61 6d 65 22 7d 2c 62 6c 61 6e lass-name"},blan
0000d570: 6b 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 5c 77 k:{pattern:/\b\w
0000d580: 2b 5f 5c 62 2f 2c 61 6c 69 61 73 3a 22 72 65 67 +_\b/,alias:"reg
0000d590: 65 78 22 7d 2c 22 67 6c 6f 62 61 6c 2d 76 61 72 ex"},"global-var
0000d5a0: 69 61 62 6c 65 22 3a 7b 70 61 74 74 65 72 6e 3a iable":{pattern:
0000d5b0: 2f 5c 24 5c 77 2b 2f 2c 61 6c 69 61 73 3a 22 76 /\$\w+/,alias:"v
0000d5c0: 61 72 69 61 62 6c 65 22 7d 2c 62 6f 6f 6c 65 61 ariable"},boolea
0000d5d0: 6e 3a 2f 5c 62 28 3f 3a 46 61 6c 73 65 7c 54 72 n:/\b(?:False|Tr
0000d5e0: 75 65 29 5c 62 2f 2c 6e 75 6d 62 65 72 3a 2f 28 ue)\b/,number:/(
0000d5f0: 3f 3a 5c 62 28 3f 3d 5c 64 29 7c 5c 42 28 3f 3d ?:\b(?=\d)|\B(?=
0000d600: 5c 2e 29 29 28 3f 3a 30 5b 62 6f 5d 29 3f 28 3f \.))(?:0[bo])?(?
0000d610: 3a 28 3f 3a 5c 64 7c 30 78 5b 5c 64 61 2d 66 5d :(?:\d|0x[\da-f]
0000d620: 29 5b 5c 64 61 2d 66 5d 2a 28 3f 3a 5c 2e 5c 64 )[\da-f]*(?:\.\d
0000d630: 2a 29 3f 7c 5c 2e 5c 64 2b 29 28 3f 3a 65 5b 2b *)?|\.\d+)(?:e[+
0000d640: 2d 5d 3f 5c 64 2b 29 3f 6a 3f 5c 62 2f 69 2c 6f -]?\d+)?j?\b/i,o
0000d650: 70 65 72 61 74 6f 72 3a 2f 5c 2f 5c 2e 7c 3b 7c perator:/\/\.|;|
0000d660: 3d 5c 2e 7c 5c 5e 3d 7c 5c 5e 3a 3d 7c 3a 3d 7c =\.|\^=|\^:=|:=|
0000d670: 3c 3c 7c 3e 3e 7c 3c 5c 7c 7c 5c 7c 3e 7c 3a 3e <<|>>|<\||\|>|:>
0000d680: 7c 5c 7c 2d 3e 7c 2d 3e 7c 3c 2d 7c 40 40 40 7c |\|->|->|<-|@@@|
0000d690: 40 40 7c 40 7c 5c 2f 40 7c 3d 21 3d 7c 3d 3d 3d @@|@|\/@|=!=|===
0000d6a0: 7c 3d 3d 7c 3d 7c 5c 2b 7c 2d 7c 5c 5e 7c 5c 5b |==|=|\+|-|\^|\[
0000d6b0: 5c 2f 2d 2b 25 3d 5c 5d 3d 3f 7c 21 3d 7c 5c 2a \/-+%=\]=?|!=|\*
0000d6c0: 5c 2a 3f 3d 3f 7c 5c 2f 5c 2f 3f 3d 3f 7c 3c 5b \*?=?|\/\/?=?|<[
0000d6d0: 3c 3d 3e 5d 3f 7c 3e 5b 3d 3e 5d 3f 7c 5b 26 7c <=>]?|>[=>]?|[&|
0000d6e0: 5e 7e 5d 2f 2c 70 75 6e 63 74 75 61 74 69 6f 6e ^~]/,punctuation
0000d6f0: 3a 2f 5b 7b 7d 5b 5c 5d 3b 28 29 2c 2e 3a 5d 2f :/[{}[\];(),.:]/
0000d700: 7d 2c 65 2e 6c 61 6e 67 75 61 67 65 73 2e 6d 61 },e.languages.ma
0000d710: 74 68 65 6d 61 74 69 63 61 3d 65 2e 6c 61 6e 67 thematica=e.lang
0000d720: 75 61 67 65 73 2e 77 6f 6c 66 72 61 6d 2c 65 2e uages.wolfram,e.
0000d730: 6c 61 6e 67 75 61 67 65 73 2e 77 6c 3d 65 2e 6c languages.wl=e.l
0000d740: 61 6e 67 75 61 67 65 73 2e 77 6f 6c 66 72 61 6d anguages.wolfram
0000d750: 2c 65 2e 6c 61 6e 67 75 61 67 65 73 2e 6e 62 3d ,e.languages.nb=
0000d760: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 77 6f 6c 66 e.languages.wolf
0000d770: 72 61 6d 7d 65 2e 65 78 70 6f 72 74 73 3d 74 2c ram}e.exports=t,
0000d780: 74 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 77 t.displayName="w
0000d790: 6f 6c 66 72 61 6d 22 2c 74 2e 61 6c 69 61 73 65 olfram",t.aliase
0000d7a0: 73 3d 5b 22 6d 61 74 68 65 6d 61 74 69 63 61 22 s=["mathematica"
0000d7b0: 2c 22 77 6c 22 2c 22 6e 62 22 5d 7d 2c 37 36 33 ,"wl","nb"]},763
0000d7c0: 30 32 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 02:function(e){"
0000d7d0: 75 73 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 use strict";func
0000d7e0: 74 69 6f 6e 20 74 28 65 29 7b 65 2e 6c 61 6e 67 tion t(e){e.lang
0000d7f0: 75 61 67 65 73 2e 77 72 65 6e 3d 7b 63 6f 6d 6d uages.wren={comm
0000d800: 65 6e 74 3a 5b 7b 70 61 74 74 65 72 6e 3a 2f 5c ent:[{pattern:/\
0000d810: 2f 5c 2a 28 3f 3a 5b 5e 2a 2f 5d 7c 5c 2a 28 3f /\*(?:[^*/]|\*(?
0000d820: 21 5c 2f 29 7c 5c 2f 28 3f 21 5c 2a 29 7c 5c 2f !\/)|\/(?!\*)|\/
0000d830: 5c 2a 28 3f 3a 5b 5e 2a 2f 5d 7c 5c 2a 28 3f 21 \*(?:[^*/]|\*(?!
0000d840: 5c 2f 29 7c 5c 2f 28 3f 21 5c 2a 29 7c 5c 2f 5c \/)|\/(?!\*)|\/\
0000d850: 2a 28 3f 3a 5b 5e 2a 2f 5d 7c 5c 2a 28 3f 21 5c *(?:[^*/]|\*(?!\
0000d860: 2f 29 7c 5c 2f 28 3f 21 5c 2a 29 29 2a 5c 2a 5c /)|\/(?!\*))*\*\
0000d870: 2f 29 2a 5c 2a 5c 2f 29 2a 5c 2a 5c 2f 2f 2c 67 /)*\*\/)*\*\//,g
0000d880: 72 65 65 64 79 3a 21 30 7d 2c 7b 70 61 74 74 65 reedy:!0},{patte
0000d890: 72 6e 3a 2f 28 5e 7c 5b 5e 5c 5c 3a 5d 29 5c 2f rn:/(^|[^\\:])\/
0000d8a0: 5c 2f 2e 2a 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 \/.*/,lookbehind
0000d8b0: 3a 21 30 2c 67 72 65 65 64 79 3a 21 30 7d 5d 2c :!0,greedy:!0}],
0000d8c0: 22 74 72 69 70 6c 65 2d 71 75 6f 74 65 64 2d 73 "triple-quoted-s
0000d8d0: 74 72 69 6e 67 22 3a 7b 70 61 74 74 65 72 6e 3a tring":{pattern:
0000d8e0: 2f 22 22 22 5b 5c 73 5c 53 5d 2a 3f 22 22 22 2f /"""[\s\S]*?"""/
0000d8f0: 2c 67 72 65 65 64 79 3a 21 30 2c 61 6c 69 61 73 ,greedy:!0,alias
0000d900: 3a 22 73 74 72 69 6e 67 22 7d 2c 22 73 74 72 69 :"string"},"stri
0000d910: 6e 67 2d 6c 69 74 65 72 61 6c 22 3a 6e 75 6c 6c ng-literal":null
0000d920: 2c 68 61 73 68 62 61 6e 67 3a 7b 70 61 74 74 65 ,hashbang:{patte
0000d930: 72 6e 3a 2f 5e 23 21 5c 2f 2e 2b 2f 2c 67 72 65 rn:/^#!\/.+/,gre
0000d940: 65 64 79 3a 21 30 2c 61 6c 69 61 73 3a 22 63 6f edy:!0,alias:"co
0000d950: 6d 6d 65 6e 74 22 7d 2c 61 74 74 72 69 62 75 74 mment"},attribut
0000d960: 65 3a 7b 70 61 74 74 65 72 6e 3a 2f 23 21 3f 5b e:{pattern:/#!?[
0000d970: 20 5c 74 5c 75 33 30 30 30 5d 2a 5c 77 2b 2f 2c \t\u3000]*\w+/,
0000d980: 61 6c 69 61 73 3a 22 6b 65 79 77 6f 72 64 22 7d alias:"keyword"}
0000d990: 2c 22 63 6c 61 73 73 2d 6e 61 6d 65 22 3a 5b 7b ,"class-name":[{
0000d9a0: 70 61 74 74 65 72 6e 3a 2f 28 5c 62 63 6c 61 73 pattern:/(\bclas
0000d9b0: 73 5c 73 2b 29 5c 77 2b 2f 2c 6c 6f 6f 6b 62 65 s\s+)\w+/,lookbe
0000d9c0: 68 69 6e 64 3a 21 30 7d 2c 2f 5c 62 5b 41 2d 5a hind:!0},/\b[A-Z
0000d9d0: 5d 5b 61 2d 7a 5c 64 5f 5d 2a 5c 62 2f 5d 2c 63 ][a-z\d_]*\b/],c
0000d9e0: 6f 6e 73 74 61 6e 74 3a 2f 5c 62 5b 41 2d 5a 5d onstant:/\b[A-Z]
0000d9f0: 5b 41 2d 5a 5c 64 5f 5d 2a 5c 62 2f 2c 6e 75 6c [A-Z\d_]*\b/,nul
0000da00: 6c 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 6e 75 l:{pattern:/\bnu
0000da10: 6c 6c 5c 62 2f 2c 61 6c 69 61 73 3a 22 6b 65 79 ll\b/,alias:"key
0000da20: 77 6f 72 64 22 7d 2c 6b 65 79 77 6f 72 64 3a 2f word"},keyword:/
0000da30: 5c 62 28 3f 3a 61 73 7c 62 72 65 61 6b 7c 63 6c \b(?:as|break|cl
0000da40: 61 73 73 7c 63 6f 6e 73 74 72 75 63 74 7c 63 6f ass|construct|co
0000da50: 6e 74 69 6e 75 65 7c 65 6c 73 65 7c 66 6f 72 7c ntinue|else|for|
0000da60: 66 6f 72 65 69 67 6e 7c 69 66 7c 69 6d 70 6f 72 foreign|if|impor
0000da70: 74 7c 69 6e 7c 69 73 7c 72 65 74 75 72 6e 7c 73 t|in|is|return|s
0000da80: 74 61 74 69 63 7c 73 75 70 65 72 7c 74 68 69 73 tatic|super|this
0000da90: 7c 76 61 72 7c 77 68 69 6c 65 29 5c 62 2f 2c 62 |var|while)\b/,b
0000daa0: 6f 6f 6c 65 61 6e 3a 2f 5c 62 28 3f 3a 66 61 6c oolean:/\b(?:fal
0000dab0: 73 65 7c 74 72 75 65 29 5c 62 2f 2c 6e 75 6d 62 se|true)\b/,numb
0000dac0: 65 72 3a 2f 5c 62 28 3f 3a 30 78 5b 5c 64 61 2d er:/\b(?:0x[\da-
0000dad0: 66 5d 2b 7c 5c 64 2b 28 3f 3a 5c 2e 5c 64 2b 29 f]+|\d+(?:\.\d+)
0000dae0: 3f 28 3f 3a 65 5b 2b 2d 5d 3f 5c 64 2b 29 3f 29 ?(?:e[+-]?\d+)?)
0000daf0: 5c 62 2f 69 2c 66 75 6e 63 74 69 6f 6e 3a 2f 5c \b/i,function:/\
0000db00: 62 5b 61 2d 7a 5f 5d 5c 77 2a 28 3f 3d 5c 73 2a b[a-z_]\w*(?=\s*
0000db10: 5b 28 7b 5d 29 2f 69 2c 6f 70 65 72 61 74 6f 72 [({])/i,operator
0000db20: 3a 2f 3c 3c 7c 3e 3e 7c 5b 3d 21 3c 3e 5d 3d 3f :/<<|>>|[=!<>]=?
0000db30: 7c 26 26 7c 5c 7c 5c 7c 7c 5b 2d 2b 2a 2f 25 7e |&&|\|\||[-+*/%~
0000db40: 5e 26 7c 3f 3a 5d 7c 5c 2e 7b 32 2c 33 7d 2f 2c ^&|?:]|\.{2,3}/,
0000db50: 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 5c 5b punctuation:/[\[
0000db60: 5c 5d 28 29 7b 7d 2e 2c 3b 5d 2f 7d 2c 65 2e 6c \](){}.,;]/},e.l
0000db70: 61 6e 67 75 61 67 65 73 2e 77 72 65 6e 5b 22 73 anguages.wren["s
0000db80: 74 72 69 6e 67 2d 6c 69 74 65 72 61 6c 22 5d 3d tring-literal"]=
0000db90: 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 7c 5b 5e 5c {pattern:/(^|[^\
0000dba0: 5c 22 5d 29 22 28 3f 3a 5b 5e 5c 5c 22 25 5d 7c \"])"(?:[^\\"%]|
0000dbb0: 5c 5c 5b 5c 73 5c 53 5d 7c 25 28 3f 21 5c 28 29 \\[\s\S]|%(?!\()
0000dbc0: 7c 25 5c 28 28 3f 3a 5b 5e 28 29 5d 7c 5c 28 28 |%\((?:[^()]|\((
0000dbd0: 3f 3a 5b 5e 28 29 5d 7c 5c 28 5b 5e 29 5d 2a 5c ?:[^()]|\([^)]*\
0000dbe0: 29 29 2a 5c 29 29 2a 5c 29 29 2a 22 2f 2c 6c 6f ))*\))*\))*"/,lo
0000dbf0: 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 67 72 65 65 okbehind:!0,gree
0000dc00: 64 79 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 69 6e dy:!0,inside:{in
0000dc10: 74 65 72 70 6f 6c 61 74 69 6f 6e 3a 7b 70 61 74 terpolation:{pat
0000dc20: 74 65 72 6e 3a 2f 28 28 3f 3a 5e 7c 5b 5e 5c 5c tern:/((?:^|[^\\
0000dc30: 5d 29 28 3f 3a 5c 5c 7b 32 7d 29 2a 29 25 5c 28 ])(?:\\{2})*)%\(
0000dc40: 28 3f 3a 5b 5e 28 29 5d 7c 5c 28 28 3f 3a 5b 5e (?:[^()]|\((?:[^
0000dc50: 28 29 5d 7c 5c 28 5b 5e 29 5d 2a 5c 29 29 2a 5c ()]|\([^)]*\))*\
0000dc60: 29 29 2a 5c 29 2f 2c 6c 6f 6f 6b 62 65 68 69 6e ))*\)/,lookbehin
0000dc70: 64 3a 21 30 2c 69 6e 73 69 64 65 3a 7b 65 78 70 d:!0,inside:{exp
0000dc80: 72 65 73 73 69 6f 6e 3a 7b 70 61 74 74 65 72 6e ression:{pattern
0000dc90: 3a 2f 5e 28 25 5c 28 29 5b 5c 73 5c 53 5d 2b 28 :/^(%\()[\s\S]+(
0000dca0: 3f 3d 5c 29 24 29 2f 2c 6c 6f 6f 6b 62 65 68 69 ?=\)$)/,lookbehi
0000dcb0: 6e 64 3a 21 30 2c 69 6e 73 69 64 65 3a 65 2e 6c nd:!0,inside:e.l
0000dcc0: 61 6e 67 75 61 67 65 73 2e 77 72 65 6e 7d 2c 22 anguages.wren},"
0000dcd0: 69 6e 74 65 72 70 6f 6c 61 74 69 6f 6e 2d 70 75 interpolation-pu
0000dce0: 6e 63 74 75 61 74 69 6f 6e 22 3a 7b 70 61 74 74 nctuation":{patt
0000dcf0: 65 72 6e 3a 2f 5e 25 5c 28 7c 5c 29 24 2f 2c 61 ern:/^%\(|\)$/,a
0000dd00: 6c 69 61 73 3a 22 70 75 6e 63 74 75 61 74 69 6f lias:"punctuatio
0000dd10: 6e 22 7d 7d 7d 2c 73 74 72 69 6e 67 3a 2f 5b 5c n"}}},string:/[\
0000dd20: 73 5c 53 5d 2b 2f 7d 7d 7d 65 2e 65 78 70 6f 72 s\S]+/}}}e.expor
0000dd30: 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 ts=t,t.displayNa
0000dd40: 6d 65 3d 22 77 72 65 6e 22 2c 74 2e 61 6c 69 61 me="wren",t.alia
0000dd50: 73 65 73 3d 5b 5d 7d 2c 39 31 35 37 34 3a 66 75 ses=[]},91574:fu
0000dd60: 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 nction(e){"use s
0000dd70: 74 72 69 63 74 22 3b 66 75 6e 63 74 69 6f 6e 20 trict";function
0000dd80: 74 28 65 29 7b 21 66 75 6e 63 74 69 6f 6e 28 65 t(e){!function(e
0000dd90: 29 7b 65 2e 6c 61 6e 67 75 61 67 65 73 2e 78 65 ){e.languages.xe
0000dda0: 6f 72 61 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e ora=e.languages.
0000ddb0: 65 78 74 65 6e 64 28 22 6d 61 72 6b 75 70 22 2c extend("markup",
0000ddc0: 7b 63 6f 6e 73 74 61 6e 74 3a 7b 70 61 74 74 65 {constant:{patte
0000ddd0: 72 6e 3a 2f 5c 24 28 3f 3a 44 6f 6d 61 69 6e 43 rn:/\$(?:DomainC
0000dde0: 6f 6e 74 65 6e 74 73 7c 50 61 67 65 52 65 6e 64 ontents|PageRend
0000ddf0: 65 72 44 75 72 61 74 69 6f 6e 29 5c 24 2f 2c 69 erDuration)\$/,i
0000de00: 6e 73 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 nside:{punctuati
0000de10: 6f 6e 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 24 2f on:{pattern:/\$/
0000de20: 7d 7d 7d 2c 76 61 72 69 61 62 6c 65 3a 7b 70 61 }}},variable:{pa
0000de30: 74 74 65 72 6e 3a 2f 5c 24 40 3f 28 3f 3a 23 2b ttern:/\$@?(?:#+
0000de40: 7c 5b 2d 2b 2a 7e 3d 5e 5d 29 3f 5b 5c 77 2e 5d |[-+*~=^])?[\w.]
0000de50: 2b 5c 24 2f 2c 69 6e 73 69 64 65 3a 7b 70 75 6e +\$/,inside:{pun
0000de60: 63 74 75 61 74 69 6f 6e 3a 7b 70 61 74 74 65 72 ctuation:{patter
0000de70: 6e 3a 2f 5b 24 2e 5d 2f 7d 2c 6f 70 65 72 61 74 n:/[$.]/},operat
0000de80: 6f 72 3a 7b 70 61 74 74 65 72 6e 3a 2f 23 2b 7c or:{pattern:/#+|
0000de90: 5b 2d 2b 2a 7e 3d 5e 40 5d 2f 7d 7d 7d 2c 22 66 [-+*~=^@]/}}},"f
0000dea0: 75 6e 63 74 69 6f 6e 2d 69 6e 6c 69 6e 65 22 3a unction-inline":
0000deb0: 7b 70 61 74 74 65 72 6e 3a 2f 5c 24 46 3a 5b 2d {pattern:/\$F:[-
0000dec0: 5c 77 2e 5d 2b 5c 3f 5b 2d 5c 77 2e 5d 2b 28 3f \w.]+\?[-\w.]+(?
0000ded0: 3a 2c 28 3f 3a 28 3f 3a 40 5b 2d 23 5d 2a 5c 77 :,(?:(?:@[-#]*\w
0000dee0: 2b 5c 2e 5b 5c 77 2b 2e 5d 5c 2e 2a 29 2a 5c 7c +\.[\w+.]\.*)*\|
0000def0: 29 2a 28 3f 3a 28 3f 3a 5b 5c 77 2b 5d 7c 5b 2d )*(?:(?:[\w+]|[-
0000df00: 23 2a 2e 7e 5e 5d 2b 5b 5c 77 2b 5d 7c 3d 5c 53 #*.~^]+[\w+]|=\S
0000df10: 29 28 3f 3a 5b 5e 24 3d 5d 7c 3d 2b 5b 5e 3d 5d )(?:[^$=]|=+[^=]
0000df20: 29 2a 3d 2a 7c 28 3f 3a 40 5b 2d 23 5d 2a 5c 77 )*=*|(?:@[-#]*\w
0000df30: 2b 5c 2e 5b 5c 77 2b 2e 5d 5c 2e 2a 29 2b 28 3f +\.[\w+.]\.*)+(?
0000df40: 3a 28 3f 3a 5b 5c 77 2b 5d 7c 5b 2d 23 2a 7e 5e :(?:[\w+]|[-#*~^
0000df50: 5d 5b 2d 23 2a 2e 7e 5e 5d 2a 5b 5c 77 2b 5d 7c ][-#*.~^]*[\w+]|
0000df60: 3d 5c 53 29 28 3f 3a 5b 5e 24 3d 5d 7c 3d 2b 5b =\S)(?:[^$=]|=+[
0000df70: 5e 3d 5d 29 2a 3d 2a 29 3f 29 3f 29 3f 5c 24 2f ^=])*=*)?)?)?\$/
0000df80: 2c 69 6e 73 69 64 65 3a 7b 76 61 72 69 61 62 6c ,inside:{variabl
0000df90: 65 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 3f 3a 5b e:{pattern:/(?:[
0000dfa0: 2c 7c 5d 29 40 3f 28 3f 3a 23 2b 7c 5b 2d 2b 2a ,|])@?(?:#+|[-+*
0000dfb0: 7e 3d 5e 5d 29 3f 5b 5c 77 2e 5d 2b 2f 2c 69 6e ~=^])?[\w.]+/,in
0000dfc0: 73 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f side:{punctuatio
0000dfd0: 6e 3a 7b 70 61 74 74 65 72 6e 3a 2f 5b 2c 2e 7c n:{pattern:/[,.|
0000dfe0: 5d 2f 7d 2c 6f 70 65 72 61 74 6f 72 3a 7b 70 61 ]/},operator:{pa
0000dff0: 74 74 65 72 6e 3a 2f 23 2b 7c 5b 2d 2b 2a 7e 3d ttern:/#+|[-+*~=
0000e000: 5e 40 5d 2f 7d 7d 7d 2c 70 75 6e 63 74 75 61 74 ^@]/}}},punctuat
0000e010: 69 6f 6e 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 24 ion:{pattern:/\$
0000e020: 5c 77 3a 7c 5b 24 3a 3f 2e 2c 7c 5d 2f 7d 7d 2c \w:|[$:?.,|]/}},
0000e030: 61 6c 69 61 73 3a 22 66 75 6e 63 74 69 6f 6e 22 alias:"function"
0000e040: 7d 2c 22 66 75 6e 63 74 69 6f 6e 2d 62 6c 6f 63 },"function-bloc
0000e050: 6b 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 24 58 k":{pattern:/\$X
0000e060: 46 3a 5c 7b 5b 2d 5c 77 2e 5d 2b 5c 3f 5b 2d 5c F:\{[-\w.]+\?[-\
0000e070: 77 2e 5d 2b 28 3f 3a 2c 28 3f 3a 28 3f 3a 40 5b w.]+(?:,(?:(?:@[
0000e080: 2d 23 5d 2a 5c 77 2b 5c 2e 5b 5c 77 2b 2e 5d 5c -#]*\w+\.[\w+.]\
0000e090: 2e 2a 29 2a 5c 7c 29 2a 28 3f 3a 28 3f 3a 5b 5c .*)*\|)*(?:(?:[\
0000e0a0: 77 2b 5d 7c 5b 2d 23 2a 2e 7e 5e 5d 2b 5b 5c 77 w+]|[-#*.~^]+[\w
0000e0b0: 2b 5d 7c 3d 5c 53 29 28 3f 3a 5b 5e 24 3d 5d 7c +]|=\S)(?:[^$=]|
0000e0c0: 3d 2b 5b 5e 3d 5d 29 2a 3d 2a 7c 28 3f 3a 40 5b =+[^=])*=*|(?:@[
0000e0d0: 2d 23 5d 2a 5c 77 2b 5c 2e 5b 5c 77 2b 2e 5d 5c -#]*\w+\.[\w+.]\
0000e0e0: 2e 2a 29 2b 28 3f 3a 28 3f 3a 5b 5c 77 2b 5d 7c .*)+(?:(?:[\w+]|
0000e0f0: 5b 2d 23 2a 7e 5e 5d 5b 2d 23 2a 2e 7e 5e 5d 2a [-#*~^][-#*.~^]*
0000e100: 5b 5c 77 2b 5d 7c 3d 5c 53 29 28 3f 3a 5b 5e 24 [\w+]|=\S)(?:[^$
0000e110: 3d 5d 7c 3d 2b 5b 5e 3d 5d 29 2a 3d 2a 29 3f 29 =]|=+[^=])*=*)?)
0000e120: 3f 29 3f 5c 7d 3a 58 46 5c 24 2f 2c 69 6e 73 69 ?)?\}:XF\$/,insi
0000e130: 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e 3a de:{punctuation:
0000e140: 7b 70 61 74 74 65 72 6e 3a 2f 5b 24 3a 7b 7d 3f {pattern:/[$:{}?
0000e150: 2e 2c 7c 5d 2f 7d 7d 2c 61 6c 69 61 73 3a 22 66 .,|]/}},alias:"f
0000e160: 75 6e 63 74 69 6f 6e 22 7d 2c 22 64 69 72 65 63 unction"},"direc
0000e170: 74 69 76 65 2d 69 6e 6c 69 6e 65 22 3a 7b 70 61 tive-inline":{pa
0000e180: 74 74 65 72 6e 3a 2f 5c 24 5c 77 28 3f 3a 23 5c ttern:/\$\w(?:#\
0000e190: 64 2b 5c 2b 3f 29 3f 28 3f 3a 5c 5b 5b 2d 5c 77 d+\+?)?(?:\[[-\w
0000e1a0: 2e 5d 2b 5c 5d 29 3f 3a 5b 2d 5c 2f 5c 77 2e 5d .]+\])?:[-\/\w.]
0000e1b0: 2b 5c 24 2f 2c 69 6e 73 69 64 65 3a 7b 70 75 6e +\$/,inside:{pun
0000e1c0: 63 74 75 61 74 69 6f 6e 3a 7b 70 61 74 74 65 72 ctuation:{patter
0000e1d0: 6e 3a 2f 5c 24 28 3f 3a 5c 77 3a 7c 43 28 3f 3a n:/\$(?:\w:|C(?:
0000e1e0: 5c 5b 7c 23 5c 64 29 29 3f 7c 5b 3a 7b 5b 5c 5d \[|#\d))?|[:{[\]
0000e1f0: 5d 2f 2c 69 6e 73 69 64 65 3a 7b 74 61 67 3a 7b ]/,inside:{tag:{
0000e200: 70 61 74 74 65 72 6e 3a 2f 23 5c 64 2f 7d 7d 7d pattern:/#\d/}}}
0000e210: 7d 2c 61 6c 69 61 73 3a 22 66 75 6e 63 74 69 6f },alias:"functio
0000e220: 6e 22 7d 2c 22 64 69 72 65 63 74 69 76 65 2d 62 n"},"directive-b
0000e230: 6c 6f 63 6b 2d 6f 70 65 6e 22 3a 7b 70 61 74 74 lock-open":{patt
0000e240: 65 72 6e 3a 2f 5c 24 5c 77 2b 3a 5c 7b 7c 5c 24 ern:/\$\w+:\{|\$
0000e250: 5c 77 28 3f 3a 23 5c 64 2b 5c 2b 3f 29 3f 28 3f \w(?:#\d+\+?)?(?
0000e260: 3a 5c 5b 5b 2d 5c 77 2e 5d 2b 5c 5d 29 3f 3a 5b :\[[-\w.]+\])?:[
0000e270: 2d 5c 77 2e 5d 2b 3a 5c 7b 28 3f 3a 21 5b 41 2d -\w.]+:\{(?:![A-
0000e280: 5a 5d 2b 29 3f 2f 2c 69 6e 73 69 64 65 3a 7b 70 Z]+)?/,inside:{p
0000e290: 75 6e 63 74 75 61 74 69 6f 6e 3a 7b 70 61 74 74 unctuation:{patt
0000e2a0: 65 72 6e 3a 2f 5c 24 28 3f 3a 5c 77 3a 7c 43 28 ern:/\$(?:\w:|C(
0000e2b0: 3f 3a 5c 5b 7c 23 5c 64 29 29 3f 7c 5b 3a 7b 5b ?:\[|#\d))?|[:{[
0000e2c0: 5c 5d 5d 2f 2c 69 6e 73 69 64 65 3a 7b 74 61 67 \]]/,inside:{tag
0000e2d0: 3a 7b 70 61 74 74 65 72 6e 3a 2f 23 5c 64 2f 7d :{pattern:/#\d/}
0000e2e0: 7d 7d 2c 61 74 74 72 69 62 75 74 65 3a 7b 70 61 }},attribute:{pa
0000e2f0: 74 74 65 72 6e 3a 2f 21 5b 41 2d 5a 5d 2b 24 2f ttern:/![A-Z]+$/
0000e300: 2c 69 6e 73 69 64 65 3a 7b 70 75 6e 63 74 75 61 ,inside:{punctua
0000e310: 74 69 6f 6e 3a 7b 70 61 74 74 65 72 6e 3a 2f 21 tion:{pattern:/!
0000e320: 2f 7d 7d 2c 61 6c 69 61 73 3a 22 6b 65 79 77 6f /}},alias:"keywo
0000e330: 72 64 22 7d 7d 2c 61 6c 69 61 73 3a 22 66 75 6e rd"}},alias:"fun
0000e340: 63 74 69 6f 6e 22 7d 2c 22 64 69 72 65 63 74 69 ction"},"directi
0000e350: 76 65 2d 62 6c 6f 63 6b 2d 73 65 70 61 72 61 74 ve-block-separat
0000e360: 6f 72 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 7d or":{pattern:/\}
0000e370: 3a 5b 2d 5c 77 2e 5d 2b 3a 5c 7b 2f 2c 69 6e 73 :[-\w.]+:\{/,ins
0000e380: 69 64 65 3a 7b 70 75 6e 63 74 75 61 74 69 6f 6e ide:{punctuation
0000e390: 3a 7b 70 61 74 74 65 72 6e 3a 2f 5b 3a 7b 7d 5d :{pattern:/[:{}]
0000e3a0: 2f 7d 7d 2c 61 6c 69 61 73 3a 22 66 75 6e 63 74 /}},alias:"funct
0000e3b0: 69 6f 6e 22 7d 2c 22 64 69 72 65 63 74 69 76 65 ion"},"directive
0000e3c0: 2d 62 6c 6f 63 6b 2d 63 6c 6f 73 65 22 3a 7b 70 -block-close":{p
0000e3d0: 61 74 74 65 72 6e 3a 2f 5c 7d 3a 5b 2d 5c 77 2e attern:/\}:[-\w.
0000e3e0: 5d 2b 5c 24 2f 2c 69 6e 73 69 64 65 3a 7b 70 75 ]+\$/,inside:{pu
0000e3f0: 6e 63 74 75 61 74 69 6f 6e 3a 7b 70 61 74 74 65 nctuation:{patte
0000e400: 72 6e 3a 2f 5b 3a 7b 7d 24 5d 2f 7d 7d 2c 61 6c rn:/[:{}$]/}},al
0000e410: 69 61 73 3a 22 66 75 6e 63 74 69 6f 6e 22 7d 7d ias:"function"}}
0000e420: 29 2c 65 2e 6c 61 6e 67 75 61 67 65 73 2e 69 6e ),e.languages.in
0000e430: 73 65 72 74 42 65 66 6f 72 65 28 22 69 6e 73 69 sertBefore("insi
0000e440: 64 65 22 2c 22 70 75 6e 63 74 75 61 74 69 6f 6e de","punctuation
0000e450: 22 2c 7b 76 61 72 69 61 62 6c 65 3a 65 2e 6c 61 ",{variable:e.la
0000e460: 6e 67 75 61 67 65 73 2e 78 65 6f 72 61 5b 22 66 nguages.xeora["f
0000e470: 75 6e 63 74 69 6f 6e 2d 69 6e 6c 69 6e 65 22 5d unction-inline"]
0000e480: 2e 69 6e 73 69 64 65 2e 76 61 72 69 61 62 6c 65 .inside.variable
0000e490: 7d 2c 65 2e 6c 61 6e 67 75 61 67 65 73 2e 78 65 },e.languages.xe
0000e4a0: 6f 72 61 5b 22 66 75 6e 63 74 69 6f 6e 2d 62 6c ora["function-bl
0000e4b0: 6f 63 6b 22 5d 29 2c 65 2e 6c 61 6e 67 75 61 67 ock"]),e.languag
0000e4c0: 65 73 2e 78 65 6f 72 61 63 75 62 65 3d 65 2e 6c es.xeoracube=e.l
0000e4d0: 61 6e 67 75 61 67 65 73 2e 78 65 6f 72 61 7d 28 anguages.xeora}(
0000e4e0: 65 29 7d 65 2e 65 78 70 6f 72 74 73 3d 74 2c 74 e)}e.exports=t,t
0000e4f0: 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 78 65 .displayName="xe
0000e500: 6f 72 61 22 2c 74 2e 61 6c 69 61 73 65 73 3d 5b ora",t.aliases=[
0000e510: 22 78 65 6f 72 61 63 75 62 65 22 5d 7d 2c 34 31 "xeoracube"]},41
0000e520: 30 31 39 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 019:function(e){
0000e530: 22 75 73 65 20 73 74 72 69 63 74 22 3b 66 75 6e "use strict";fun
0000e540: 63 74 69 6f 6e 20 74 28 65 29 7b 21 66 75 6e 63 ction t(e){!func
0000e550: 74 69 6f 6e 28 65 29 7b 66 75 6e 63 74 69 6f 6e tion(e){function
0000e560: 20 74 28 74 2c 6e 29 7b 65 2e 6c 61 6e 67 75 61 t(t,n){e.langua
0000e570: 67 65 73 5b 74 5d 26 26 65 2e 6c 61 6e 67 75 61 ges[t]&&e.langua
0000e580: 67 65 73 2e 69 6e 73 65 72 74 42 65 66 6f 72 65 ges.insertBefore
0000e590: 28 74 2c 22 63 6f 6d 6d 65 6e 74 22 2c 7b 22 64 (t,"comment",{"d
0000e5a0: 6f 63 2d 63 6f 6d 6d 65 6e 74 22 3a 6e 7d 29 7d oc-comment":n})}
0000e5b0: 76 61 72 20 6e 3d 65 2e 6c 61 6e 67 75 61 67 65 var n=e.language
0000e5c0: 73 2e 6d 61 72 6b 75 70 2e 74 61 67 2c 72 3d 7b s.markup.tag,r={
0000e5d0: 70 61 74 74 65 72 6e 3a 2f 5c 2f 5c 2f 5c 2f 2e pattern:/\/\/\/.
0000e5e0: 2a 2f 2c 67 72 65 65 64 79 3a 21 30 2c 61 6c 69 */,greedy:!0,ali
0000e5f0: 61 73 3a 22 63 6f 6d 6d 65 6e 74 22 2c 69 6e 73 as:"comment",ins
0000e600: 69 64 65 3a 7b 74 61 67 3a 6e 7d 7d 2c 6f 3d 7b ide:{tag:n}},o={
0000e610: 70 61 74 74 65 72 6e 3a 2f 27 27 27 2e 2a 2f 2c pattern:/'''.*/,
0000e620: 67 72 65 65 64 79 3a 21 30 2c 61 6c 69 61 73 3a greedy:!0,alias:
0000e630: 22 63 6f 6d 6d 65 6e 74 22 2c 69 6e 73 69 64 65 "comment",inside
0000e640: 3a 7b 74 61 67 3a 6e 7d 7d 3b 74 28 22 63 73 68 :{tag:n}};t("csh
0000e650: 61 72 70 22 2c 72 29 2c 74 28 22 66 73 68 61 72 arp",r),t("fshar
0000e660: 70 22 2c 72 29 2c 74 28 22 76 62 6e 65 74 22 2c p",r),t("vbnet",
0000e670: 6f 29 7d 28 65 29 7d 65 2e 65 78 70 6f 72 74 73 o)}(e)}e.exports
0000e680: 3d 74 2c 74 2e 64 69 73 70 6c 61 79 4e 61 6d 65 =t,t.displayName
0000e690: 3d 22 78 6d 6c 44 6f 63 22 2c 74 2e 61 6c 69 61 ="xmlDoc",t.alia
0000e6a0: 73 65 73 3d 5b 5d 7d 2c 32 30 32 31 32 3a 66 75 ses=[]},20212:fu
0000e6b0: 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 nction(e){"use s
0000e6c0: 74 72 69 63 74 22 3b 66 75 6e 63 74 69 6f 6e 20 trict";function
0000e6d0: 74 28 65 29 7b 65 2e 6c 61 6e 67 75 61 67 65 73 t(e){e.languages
0000e6e0: 2e 78 6f 6a 6f 3d 7b 63 6f 6d 6d 65 6e 74 3a 7b .xojo={comment:{
0000e6f0: 70 61 74 74 65 72 6e 3a 2f 28 3f 3a 27 7c 5c 2f pattern:/(?:'|\/
0000e700: 5c 2f 7c 52 65 6d 5c 62 29 2e 2b 2f 69 2c 67 72 \/|Rem\b).+/i,gr
0000e710: 65 65 64 79 3a 21 30 7d 2c 73 74 72 69 6e 67 3a eedy:!0},string:
0000e720: 7b 70 61 74 74 65 72 6e 3a 2f 22 28 3f 3a 22 22 {pattern:/"(?:""
0000e730: 7c 5b 5e 22 5d 29 2a 22 2f 2c 67 72 65 65 64 79 |[^"])*"/,greedy
0000e740: 3a 21 30 7d 2c 6e 75 6d 62 65 72 3a 5b 2f 28 3f :!0},number:[/(?
0000e750: 3a 5c 62 5c 64 2b 28 3f 3a 5c 2e 5c 64 2a 29 3f :\b\d+(?:\.\d*)?
0000e760: 7c 5c 42 5c 2e 5c 64 2b 29 28 3f 3a 45 5b 2b 2d |\B\.\d+)(?:E[+-
0000e770: 5d 3f 5c 64 2b 29 3f 2f 69 2c 2f 26 5b 62 63 68 ]?\d+)?/i,/&[bch
0000e780: 6f 75 5d 5b 61 2d 7a 5c 64 5d 2b 2f 69 5d 2c 64 ou][a-z\d]+/i],d
0000e790: 69 72 65 63 74 69 76 65 3a 7b 70 61 74 74 65 72 irective:{patter
0000e7a0: 6e 3a 2f 23 28 3f 3a 45 6c 73 65 7c 45 6c 73 65 n:/#(?:Else|Else
0000e7b0: 49 66 7c 45 6e 64 69 66 7c 49 66 7c 50 72 61 67 If|Endif|If|Prag
0000e7c0: 6d 61 29 5c 62 2f 69 2c 61 6c 69 61 73 3a 22 70 ma)\b/i,alias:"p
0000e7d0: 72 6f 70 65 72 74 79 22 7d 2c 6b 65 79 77 6f 72 roperty"},keywor
0000e7e0: 64 3a 2f 5c 62 28 3f 3a 41 64 64 48 61 6e 64 6c d:/\b(?:AddHandl
0000e7f0: 65 72 7c 41 70 70 7c 41 72 72 61 79 7c 41 73 28 er|App|Array|As(
0000e800: 3f 3a 73 69 67 6e 73 29 3f 7c 41 75 74 6f 7c 42 ?:signs)?|Auto|B
0000e810: 6f 6f 6c 65 61 6e 7c 42 72 65 61 6b 7c 42 79 28 oolean|Break|By(
0000e820: 3f 3a 52 65 66 7c 56 61 6c 29 7c 42 79 74 65 7c ?:Ref|Val)|Byte|
0000e830: 43 61 6c 6c 7c 43 61 73 65 7c 43 61 74 63 68 7c Call|Case|Catch|
0000e840: 43 46 53 74 72 69 6e 67 52 65 66 7c 43 47 46 6c CFStringRef|CGFl
0000e850: 6f 61 74 7c 43 6c 61 73 73 7c 43 6f 6c 6f 72 7c oat|Class|Color|
0000e860: 43 6f 6e 73 74 7c 43 6f 6e 74 69 6e 75 65 7c 43 Const|Continue|C
0000e870: 53 74 72 69 6e 67 7c 43 75 72 72 65 6e 63 79 7c String|Currency|
0000e880: 43 75 72 72 65 6e 74 4d 65 74 68 6f 64 4e 61 6d CurrentMethodNam
0000e890: 65 7c 44 65 63 6c 61 72 65 7c 44 65 6c 65 67 61 e|Declare|Delega
0000e8a0: 74 65 7c 44 69 6d 7c 44 6f 28 3f 3a 75 62 6c 65 te|Dim|Do(?:uble
0000e8b0: 7c 77 6e 54 6f 29 3f 7c 45 61 63 68 7c 45 6c 73 |wnTo)?|Each|Els
0000e8c0: 65 28 3f 3a 49 66 29 3f 7c 45 6e 64 7c 45 6e 75 e(?:If)?|End|Enu
0000e8d0: 6d 65 72 61 74 69 6f 6e 7c 45 76 65 6e 74 7c 45 meration|Event|E
0000e8e0: 78 63 65 70 74 69 6f 6e 7c 45 78 69 74 7c 45 78 xception|Exit|Ex
0000e8f0: 74 65 6e 64 73 7c 46 61 6c 73 65 7c 46 69 6e 61 tends|False|Fina
0000e900: 6c 6c 79 7c 46 6f 72 7c 46 75 6e 63 74 69 6f 6e lly|For|Function
0000e910: 7c 47 65 74 7c 47 65 74 54 79 70 65 49 6e 66 6f |Get|GetTypeInfo
0000e920: 7c 47 6c 6f 62 61 6c 7c 47 4f 54 4f 7c 49 66 7c |Global|GOTO|If|
0000e930: 49 6d 70 6c 65 6d 65 6e 74 73 7c 49 6e 7c 49 6e Implements|In|In
0000e940: 68 65 72 69 74 73 7c 49 6e 74 28 3f 3a 38 7c 31 herits|Int(?:8|1
0000e950: 36 7c 33 32 7c 36 34 7c 65 67 65 72 7c 65 72 66 6|32|64|eger|erf
0000e960: 61 63 65 29 3f 7c 4c 69 62 7c 4c 6f 6f 70 7c 4d ace)?|Lib|Loop|M
0000e970: 65 7c 4d 6f 64 75 6c 65 7c 4e 65 78 74 7c 4e 69 e|Module|Next|Ni
0000e980: 6c 7c 4f 62 6a 65 63 74 7c 4f 70 74 69 6f 6e 61 l|Object|Optiona
0000e990: 6c 7c 4f 53 54 79 70 65 7c 50 61 72 61 6d 41 72 l|OSType|ParamAr
0000e9a0: 72 61 79 7c 50 72 69 76 61 74 65 7c 50 72 6f 70 ray|Private|Prop
0000e9b0: 65 72 74 79 7c 50 72 6f 74 65 63 74 65 64 7c 50 erty|Protected|P
0000e9c0: 53 74 72 69 6e 67 7c 50 74 72 7c 52 61 69 73 65 String|Ptr|Raise
0000e9d0: 28 3f 3a 45 76 65 6e 74 29 3f 7c 52 65 44 69 6d (?:Event)?|ReDim
0000e9e0: 7c 52 65 6d 6f 76 65 48 61 6e 64 6c 65 72 7c 52 |RemoveHandler|R
0000e9f0: 65 74 75 72 6e 7c 53 65 6c 65 63 74 28 3f 3a 6f eturn|Select(?:o
0000ea00: 72 29 3f 7c 53 65 6c 66 7c 53 65 74 7c 53 68 61 r)?|Self|Set|Sha
0000ea10: 72 65 64 7c 53 68 6f 72 74 7c 53 69 6e 67 6c 65 red|Short|Single
0000ea20: 7c 53 6f 66 74 7c 53 74 61 74 69 63 7c 53 74 65 |Soft|Static|Ste
0000ea30: 70 7c 53 74 72 69 6e 67 7c 53 75 62 7c 53 75 70 p|String|Sub|Sup
0000ea40: 65 72 7c 54 65 78 74 7c 54 68 65 6e 7c 54 6f 7c er|Text|Then|To|
0000ea50: 54 72 75 65 7c 54 72 79 7c 55 62 6f 75 6e 64 7c True|Try|Ubound|
0000ea60: 55 49 6e 74 28 3f 3a 38 7c 31 36 7c 33 32 7c 36 UInt(?:8|16|32|6
0000ea70: 34 7c 65 67 65 72 29 3f 7c 55 6e 74 69 6c 7c 55 4|eger)?|Until|U
0000ea80: 73 69 6e 67 7c 56 61 72 28 3f 3a 69 61 6e 74 29 sing|Var(?:iant)
0000ea90: 3f 7c 57 65 6e 64 7c 57 68 69 6c 65 7c 57 69 6e ?|Wend|While|Win
0000eaa0: 64 6f 77 50 74 72 7c 57 53 74 72 69 6e 67 29 5c dowPtr|WString)\
0000eab0: 62 2f 69 2c 6f 70 65 72 61 74 6f 72 3a 2f 3c 5b b/i,operator:/<[
0000eac0: 3d 3e 5d 3f 7c 3e 3d 3f 7c 5b 2b 5c 2d 2a 5c 2f =>]?|>=?|[+\-*\/
0000ead0: 5c 5c 5e 3d 5d 7c 5c 62 28 3f 3a 41 64 64 72 65 \\^=]|\b(?:Addre
0000eae0: 73 73 4f 66 7c 41 6e 64 7c 43 74 79 70 65 7c 49 ssOf|And|Ctype|I
0000eaf0: 73 41 3f 7c 4d 6f 64 7c 4e 65 77 7c 4e 6f 74 7c sA?|Mod|New|Not|
0000eb00: 4f 72 7c 57 65 61 6b 41 64 64 72 65 73 73 4f 66 Or|WeakAddressOf
0000eb10: 7c 58 6f 72 29 5c 62 2f 69 2c 70 75 6e 63 74 75 |Xor)\b/i,punctu
0000eb20: 61 74 69 6f 6e 3a 2f 5b 2e 2c 3b 3a 28 29 5d 2f ation:/[.,;:()]/
0000eb30: 7d 7d 65 2e 65 78 70 6f 72 74 73 3d 74 2c 74 2e }}e.exports=t,t.
0000eb40: 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 78 6f 6a displayName="xoj
0000eb50: 6f 22 2c 74 2e 61 6c 69 61 73 65 73 3d 5b 5d 7d o",t.aliases=[]}
0000eb60: 2c 34 37 32 39 38 3a 66 75 6e 63 74 69 6f 6e 28 ,47298:function(
0000eb70: 65 29 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b e){"use strict";
0000eb80: 66 75 6e 63 74 69 6f 6e 20 74 28 65 29 7b 21 66 function t(e){!f
0000eb90: 75 6e 63 74 69 6f 6e 28 65 29 7b 65 2e 6c 61 6e unction(e){e.lan
0000eba0: 67 75 61 67 65 73 2e 78 71 75 65 72 79 3d 65 2e guages.xquery=e.
0000ebb0: 6c 61 6e 67 75 61 67 65 73 2e 65 78 74 65 6e 64 languages.extend
0000ebc0: 28 22 6d 61 72 6b 75 70 22 2c 7b 22 78 71 75 65 ("markup",{"xque
0000ebd0: 72 79 2d 63 6f 6d 6d 65 6e 74 22 3a 7b 70 61 74 ry-comment":{pat
0000ebe0: 74 65 72 6e 3a 2f 5c 28 3a 5b 5c 73 5c 53 5d 2a tern:/\(:[\s\S]*
0000ebf0: 3f 3a 5c 29 2f 2c 67 72 65 65 64 79 3a 21 30 2c ?:\)/,greedy:!0,
0000ec00: 61 6c 69 61 73 3a 22 63 6f 6d 6d 65 6e 74 22 7d alias:"comment"}
0000ec10: 2c 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 72 6e ,string:{pattern
0000ec20: 3a 2f 28 5b 22 27 5d 29 28 3f 3a 5c 31 5c 31 7c :/(["'])(?:\1\1|
0000ec30: 28 3f 21 5c 31 29 5b 5c 73 5c 53 5d 29 2a 5c 31 (?!\1)[\s\S])*\1
0000ec40: 2f 2c 67 72 65 65 64 79 3a 21 30 7d 2c 65 78 74 /,greedy:!0},ext
0000ec50: 65 6e 73 69 6f 6e 3a 7b 70 61 74 74 65 72 6e 3a ension:{pattern:
0000ec60: 2f 5c 28 23 2e 2b 3f 23 5c 29 2f 2c 61 6c 69 61 /\(#.+?#\)/,alia
0000ec70: 73 3a 22 73 79 6d 62 6f 6c 22 7d 2c 76 61 72 69 s:"symbol"},vari
0000ec80: 61 62 6c 65 3a 2f 5c 24 5b 2d 5c 77 3a 5d 2b 2f able:/\$[-\w:]+/
0000ec90: 2c 61 78 69 73 3a 7b 70 61 74 74 65 72 6e 3a 2f ,axis:{pattern:/
0000eca0: 28 5e 7c 5b 5e 2d 5d 29 28 3f 3a 61 6e 63 65 73 (^|[^-])(?:ances
0000ecb0: 74 6f 72 28 3f 3a 2d 6f 72 2d 73 65 6c 66 29 3f tor(?:-or-self)?
0000ecc0: 7c 61 74 74 72 69 62 75 74 65 7c 63 68 69 6c 64 |attribute|child
0000ecd0: 7c 64 65 73 63 65 6e 64 61 6e 74 28 3f 3a 2d 6f |descendant(?:-o
0000ece0: 72 2d 73 65 6c 66 29 3f 7c 66 6f 6c 6c 6f 77 69 r-self)?|followi
0000ecf0: 6e 67 28 3f 3a 2d 73 69 62 6c 69 6e 67 29 3f 7c ng(?:-sibling)?|
0000ed00: 70 61 72 65 6e 74 7c 70 72 65 63 65 64 69 6e 67 parent|preceding
0000ed10: 28 3f 3a 2d 73 69 62 6c 69 6e 67 29 3f 7c 73 65 (?:-sibling)?|se
0000ed20: 6c 66 29 28 3f 3d 3a 3a 29 2f 2c 6c 6f 6f 6b 62 lf)(?=::)/,lookb
0000ed30: 65 68 69 6e 64 3a 21 30 2c 61 6c 69 61 73 3a 22 ehind:!0,alias:"
0000ed40: 6f 70 65 72 61 74 6f 72 22 7d 2c 22 6b 65 79 77 operator"},"keyw
0000ed50: 6f 72 64 2d 6f 70 65 72 61 74 6f 72 22 3a 7b 70 ord-operator":{p
0000ed60: 61 74 74 65 72 6e 3a 2f 28 5e 7c 5b 5e 3a 2d 5d attern:/(^|[^:-]
0000ed70: 29 5c 62 28 3f 3a 61 6e 64 7c 63 61 73 74 61 62 )\b(?:and|castab
0000ed80: 6c 65 20 61 73 7c 64 69 76 7c 65 71 7c 65 78 63 le as|div|eq|exc
0000ed90: 65 70 74 7c 67 65 7c 67 74 7c 69 64 69 76 7c 69 ept|ge|gt|idiv|i
0000eda0: 6e 73 74 61 6e 63 65 20 6f 66 7c 69 6e 74 65 72 nstance of|inter
0000edb0: 73 65 63 74 7c 69 73 7c 6c 65 7c 6c 74 7c 6d 6f sect|is|le|lt|mo
0000edc0: 64 7c 6e 65 7c 6f 72 7c 75 6e 69 6f 6e 29 5c 62 d|ne|or|union)\b
0000edd0: 28 3f 3d 24 7c 5b 5e 3a 2d 5d 29 2f 2c 6c 6f 6f (?=$|[^:-])/,loo
0000ede0: 6b 62 65 68 69 6e 64 3a 21 30 2c 61 6c 69 61 73 kbehind:!0,alias
0000edf0: 3a 22 6f 70 65 72 61 74 6f 72 22 7d 2c 6b 65 79 :"operator"},key
0000ee00: 77 6f 72 64 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 word:{pattern:/(
0000ee10: 5e 7c 5b 5e 3a 2d 5d 29 5c 62 28 3f 3a 61 73 7c ^|[^:-])\b(?:as|
0000ee20: 61 73 63 65 6e 64 69 6e 67 7c 61 74 7c 62 61 73 ascending|at|bas
0000ee30: 65 2d 75 72 69 7c 62 6f 75 6e 64 61 72 79 2d 73 e-uri|boundary-s
0000ee40: 70 61 63 65 7c 63 61 73 65 7c 63 61 73 74 20 61 pace|case|cast a
0000ee50: 73 7c 63 6f 6c 6c 61 74 69 6f 6e 7c 63 6f 6e 73 s|collation|cons
0000ee60: 74 72 75 63 74 69 6f 6e 7c 63 6f 70 79 2d 6e 61 truction|copy-na
0000ee70: 6d 65 73 70 61 63 65 73 7c 64 65 63 6c 61 72 65 mespaces|declare
0000ee80: 7c 64 65 66 61 75 6c 74 7c 64 65 73 63 65 6e 64 |default|descend
0000ee90: 69 6e 67 7c 65 6c 73 65 7c 65 6d 70 74 79 20 28 ing|else|empty (
0000eea0: 3f 3a 67 72 65 61 74 65 73 74 7c 6c 65 61 73 74 ?:greatest|least
0000eeb0: 29 7c 65 6e 63 6f 64 69 6e 67 7c 65 76 65 72 79 )|encoding|every
0000eec0: 7c 65 78 74 65 72 6e 61 6c 7c 66 6f 72 7c 66 75 |external|for|fu
0000eed0: 6e 63 74 69 6f 6e 7c 69 66 7c 69 6d 70 6f 72 74 nction|if|import
0000eee0: 7c 69 6e 7c 69 6e 68 65 72 69 74 7c 6c 61 78 7c |in|inherit|lax|
0000eef0: 6c 65 74 7c 6d 61 70 7c 6d 6f 64 75 6c 65 7c 6e let|map|module|n
0000ef00: 61 6d 65 73 70 61 63 65 7c 6e 6f 2d 69 6e 68 65 amespace|no-inhe
0000ef10: 72 69 74 7c 6e 6f 2d 70 72 65 73 65 72 76 65 7c rit|no-preserve|
0000ef20: 6f 70 74 69 6f 6e 7c 6f 72 64 65 72 28 3f 3a 20 option|order(?:
0000ef30: 62 79 7c 65 64 7c 69 6e 67 29 3f 7c 70 72 65 73 by|ed|ing)?|pres
0000ef40: 65 72 76 65 7c 72 65 74 75 72 6e 7c 73 61 74 69 erve|return|sati
0000ef50: 73 66 69 65 73 7c 73 63 68 65 6d 61 7c 73 6f 6d sfies|schema|som
0000ef60: 65 7c 73 74 61 62 6c 65 7c 73 74 72 69 63 74 7c e|stable|strict|
0000ef70: 73 74 72 69 70 7c 74 68 65 6e 7c 74 6f 7c 74 72 strip|then|to|tr
0000ef80: 65 61 74 20 61 73 7c 74 79 70 65 73 77 69 74 63 eat as|typeswitc
0000ef90: 68 7c 75 6e 6f 72 64 65 72 65 64 7c 76 61 6c 69 h|unordered|vali
0000efa0: 64 61 74 65 7c 76 61 72 69 61 62 6c 65 7c 76 65 date|variable|ve
0000efb0: 72 73 69 6f 6e 7c 77 68 65 72 65 7c 78 71 75 65 rsion|where|xque
0000efc0: 72 79 29 5c 62 28 3f 3d 24 7c 5b 5e 3a 2d 5d 29 ry)\b(?=$|[^:-])
0000efd0: 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d /,lookbehind:!0}
0000efe0: 2c 66 75 6e 63 74 69 6f 6e 3a 2f 5b 5c 77 2d 5d ,function:/[\w-]
0000eff0: 2b 28 3f 3a 3a 5b 5c 77 2d 5d 2b 29 2a 28 3f 3d +(?::[\w-]+)*(?=
0000f000: 5c 73 2a 5c 28 29 2f 2c 22 78 71 75 65 72 79 2d \s*\()/,"xquery-
0000f010: 65 6c 65 6d 65 6e 74 22 3a 7b 70 61 74 74 65 72 element":{patter
0000f020: 6e 3a 2f 28 65 6c 65 6d 65 6e 74 5c 73 2b 29 5b n:/(element\s+)[
0000f030: 5c 77 2d 5d 2b 28 3f 3a 3a 5b 5c 77 2d 5d 2b 29 \w-]+(?::[\w-]+)
0000f040: 2a 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 */,lookbehind:!0
0000f050: 2c 61 6c 69 61 73 3a 22 74 61 67 22 7d 2c 22 78 ,alias:"tag"},"x
0000f060: 71 75 65 72 79 2d 61 74 74 72 69 62 75 74 65 22 query-attribute"
0000f070: 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 61 74 74 72 :{pattern:/(attr
0000f080: 69 62 75 74 65 5c 73 2b 29 5b 5c 77 2d 5d 2b 28 ibute\s+)[\w-]+(
0000f090: 3f 3a 3a 5b 5c 77 2d 5d 2b 29 2a 2f 2c 6c 6f 6f ?::[\w-]+)*/,loo
0000f0a0: 6b 62 65 68 69 6e 64 3a 21 30 2c 61 6c 69 61 73 kbehind:!0,alias
0000f0b0: 3a 22 61 74 74 72 2d 6e 61 6d 65 22 7d 2c 62 75 :"attr-name"},bu
0000f0c0: 69 6c 74 69 6e 3a 7b 70 61 74 74 65 72 6e 3a 2f iltin:{pattern:/
0000f0d0: 28 5e 7c 5b 5e 3a 2d 5d 29 5c 62 28 3f 3a 61 74 (^|[^:-])\b(?:at
0000f0e0: 74 72 69 62 75 74 65 7c 63 6f 6d 6d 65 6e 74 7c tribute|comment|
0000f0f0: 64 6f 63 75 6d 65 6e 74 7c 65 6c 65 6d 65 6e 74 document|element
0000f100: 7c 70 72 6f 63 65 73 73 69 6e 67 2d 69 6e 73 74 |processing-inst
0000f110: 72 75 63 74 69 6f 6e 7c 74 65 78 74 7c 78 73 3a ruction|text|xs:
0000f120: 28 3f 3a 45 4e 54 49 54 49 45 53 7c 45 4e 54 49 (?:ENTITIES|ENTI
0000f130: 54 59 7c 49 44 7c 49 44 52 45 46 53 3f 7c 4e 43 TY|ID|IDREFS?|NC
0000f140: 4e 61 6d 65 7c 4e 4d 54 4f 4b 45 4e 53 3f 7c 4e Name|NMTOKENS?|N
0000f150: 4f 54 41 54 49 4f 4e 7c 4e 61 6d 65 7c 51 4e 61 OTATION|Name|QNa
0000f160: 6d 65 7c 61 6e 79 41 74 6f 6d 69 63 54 79 70 65 me|anyAtomicType
0000f170: 7c 61 6e 79 54 79 70 65 7c 61 6e 79 55 52 49 7c |anyType|anyURI|
0000f180: 62 61 73 65 36 34 42 69 6e 61 72 79 7c 62 6f 6f base64Binary|boo
0000f190: 6c 65 61 6e 7c 62 79 74 65 7c 64 61 74 65 7c 64 lean|byte|date|d
0000f1a0: 61 74 65 54 69 6d 65 7c 64 61 79 54 69 6d 65 44 ateTime|dayTimeD
0000f1b0: 75 72 61 74 69 6f 6e 7c 64 65 63 69 6d 61 6c 7c uration|decimal|
0000f1c0: 64 6f 75 62 6c 65 7c 64 75 72 61 74 69 6f 6e 7c double|duration|
0000f1d0: 66 6c 6f 61 74 7c 67 44 61 79 7c 67 4d 6f 6e 74 float|gDay|gMont
0000f1e0: 68 7c 67 4d 6f 6e 74 68 44 61 79 7c 67 59 65 61 h|gMonthDay|gYea
0000f1f0: 72 7c 67 59 65 61 72 4d 6f 6e 74 68 7c 68 65 78 r|gYearMonth|hex
0000f200: 42 69 6e 61 72 79 7c 69 6e 74 7c 69 6e 74 65 67 Binary|int|integ
0000f210: 65 72 7c 6c 61 6e 67 75 61 67 65 7c 6c 6f 6e 67 er|language|long
0000f220: 7c 6e 65 67 61 74 69 76 65 49 6e 74 65 67 65 72 |negativeInteger
0000f230: 7c 6e 6f 6e 4e 65 67 61 74 69 76 65 49 6e 74 65 |nonNegativeInte
0000f240: 67 65 72 7c 6e 6f 6e 50 6f 73 69 74 69 76 65 49 ger|nonPositiveI
0000f250: 6e 74 65 67 65 72 7c 6e 6f 72 6d 61 6c 69 7a 65 nteger|normalize
0000f260: 64 53 74 72 69 6e 67 7c 70 6f 73 69 74 69 76 65 dString|positive
0000f270: 49 6e 74 65 67 65 72 7c 73 68 6f 72 74 7c 73 74 Integer|short|st
0000f280: 72 69 6e 67 7c 74 69 6d 65 7c 74 6f 6b 65 6e 7c ring|time|token|
0000f290: 75 6e 73 69 67 6e 65 64 28 3f 3a 42 79 74 65 7c unsigned(?:Byte|
0000f2a0: 49 6e 74 7c 4c 6f 6e 67 7c 53 68 6f 72 74 29 7c Int|Long|Short)|
0000f2b0: 75 6e 74 79 70 65 64 28 3f 3a 41 74 6f 6d 69 63 untyped(?:Atomic
0000f2c0: 29 3f 7c 79 65 61 72 4d 6f 6e 74 68 44 75 72 61 )?|yearMonthDura
0000f2d0: 74 69 6f 6e 29 29 5c 62 28 3f 3d 24 7c 5b 5e 3a tion))\b(?=$|[^:
0000f2e0: 2d 5d 29 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a -])/,lookbehind:
0000f2f0: 21 30 7d 2c 6e 75 6d 62 65 72 3a 2f 5c 62 5c 64 !0},number:/\b\d
0000f300: 2b 28 3f 3a 5c 2e 5c 64 2b 29 3f 28 3f 3a 45 5b +(?:\.\d+)?(?:E[
0000f310: 2b 2d 5d 3f 5c 64 2b 29 3f 2f 2c 6f 70 65 72 61 +-]?\d+)?/,opera
0000f320: 74 6f 72 3a 5b 2f 5b 2b 2a 3d 3f 7c 40 5d 7c 5c tor:[/[+*=?|@]|\
0000f330: 2e 5c 2e 3f 7c 3a 3d 7c 21 3d 7c 3c 5b 3d 3c 5d .\.?|:=|!=|<[=<]
0000f340: 3f 7c 3e 5b 3d 3e 5d 3f 2f 2c 7b 70 61 74 74 65 ?|>[=>]?/,{patte
0000f350: 72 6e 3a 2f 28 5c 73 29 2d 28 3f 3d 5c 73 29 2f rn:/(\s)-(?=\s)/
0000f360: 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 5d ,lookbehind:!0}]
0000f370: 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 5b 5b ,punctuation:/[[
0000f380: 5c 5d 28 29 7b 7d 2c 3b 3a 2f 5d 2f 7d 29 2c 65 \](){},;:/]/}),e
0000f390: 2e 6c 61 6e 67 75 61 67 65 73 2e 78 71 75 65 72 .languages.xquer
0000f3a0: 79 2e 74 61 67 2e 70 61 74 74 65 72 6e 3d 2f 3c y.tag.pattern=/<
0000f3b0: 5c 2f 3f 28 3f 21 5c 64 29 5b 5e 5c 73 3e 5c 2f \/?(?!\d)[^\s>\/
0000f3c0: 3d 24 3c 25 5d 2b 28 3f 3a 5c 73 2b 5b 5e 5c 73 =$<%]+(?:\s+[^\s
0000f3d0: 3e 5c 2f 3d 5d 2b 28 3f 3a 3d 28 3f 3a 28 22 7c >\/=]+(?:=(?:("|
0000f3e0: 27 29 28 3f 3a 5c 5c 5b 5c 73 5c 53 5d 7c 5c 7b ')(?:\\[\s\S]|\{
0000f3f0: 28 3f 21 5c 7b 29 28 3f 3a 5c 7b 28 3f 3a 5c 7b (?!\{)(?:\{(?:\{
0000f400: 5b 5e 7b 7d 5d 2a 5c 7d 7c 5b 5e 7b 7d 5d 29 2a [^{}]*\}|[^{}])*
0000f410: 5c 7d 7c 5b 5e 7b 7d 5d 29 2b 5c 7d 7c 28 3f 21 \}|[^{}])+\}|(?!
0000f420: 5c 31 29 5b 5e 5c 5c 5d 29 2a 5c 31 7c 5b 5e 5c \1)[^\\])*\1|[^\
0000f430: 73 27 22 3e 3d 5d 2b 29 29 3f 29 2a 5c 73 2a 5c s'">=]+))?)*\s*\
0000f440: 2f 3f 3e 2f 2c 65 2e 6c 61 6e 67 75 61 67 65 73 /?>/,e.languages
0000f450: 2e 78 71 75 65 72 79 2e 74 61 67 2e 69 6e 73 69 .xquery.tag.insi
0000f460: 64 65 5b 22 61 74 74 72 2d 76 61 6c 75 65 22 5d de["attr-value"]
0000f470: 2e 70 61 74 74 65 72 6e 3d 2f 3d 28 3f 3a 28 22 .pattern=/=(?:("
0000f480: 7c 27 29 28 3f 3a 5c 5c 5b 5c 73 5c 53 5d 7c 5c |')(?:\\[\s\S]|\
0000f490: 7b 28 3f 21 5c 7b 29 28 3f 3a 5c 7b 28 3f 3a 5c {(?!\{)(?:\{(?:\
0000f4a0: 7b 5b 5e 7b 7d 5d 2a 5c 7d 7c 5b 5e 7b 7d 5d 29 {[^{}]*\}|[^{}])
0000f4b0: 2a 5c 7d 7c 5b 5e 7b 7d 5d 29 2b 5c 7d 7c 28 3f *\}|[^{}])+\}|(?
0000f4c0: 21 5c 31 29 5b 5e 5c 5c 5d 29 2a 5c 31 7c 5b 5e !\1)[^\\])*\1|[^
0000f4d0: 5c 73 27 22 3e 3d 5d 2b 29 2f 2c 65 2e 6c 61 6e \s'">=]+)/,e.lan
0000f4e0: 67 75 61 67 65 73 2e 78 71 75 65 72 79 2e 74 61 guages.xquery.ta
0000f4f0: 67 2e 69 6e 73 69 64 65 5b 22 61 74 74 72 2d 76 g.inside["attr-v
0000f500: 61 6c 75 65 22 5d 2e 69 6e 73 69 64 65 2e 70 75 alue"].inside.pu
0000f510: 6e 63 74 75 61 74 69 6f 6e 3d 2f 5e 3d 22 7c 22 nctuation=/^="|"
0000f520: 24 2f 2c 65 2e 6c 61 6e 67 75 61 67 65 73 2e 78 $/,e.languages.x
0000f530: 71 75 65 72 79 2e 74 61 67 2e 69 6e 73 69 64 65 query.tag.inside
0000f540: 5b 22 61 74 74 72 2d 76 61 6c 75 65 22 5d 2e 69 ["attr-value"].i
0000f550: 6e 73 69 64 65 2e 65 78 70 72 65 73 73 69 6f 6e nside.expression
0000f560: 3d 7b 70 61 74 74 65 72 6e 3a 2f 5c 7b 28 3f 21 ={pattern:/\{(?!
0000f570: 5c 7b 29 28 3f 3a 5c 7b 28 3f 3a 5c 7b 5b 5e 7b \{)(?:\{(?:\{[^{
0000f580: 7d 5d 2a 5c 7d 7c 5b 5e 7b 7d 5d 29 2a 5c 7d 7c }]*\}|[^{}])*\}|
0000f590: 5b 5e 7b 7d 5d 29 2b 5c 7d 2f 2c 69 6e 73 69 64 [^{}])+\}/,insid
0000f5a0: 65 3a 65 2e 6c 61 6e 67 75 61 67 65 73 2e 78 71 e:e.languages.xq
0000f5b0: 75 65 72 79 2c 61 6c 69 61 73 3a 22 6c 61 6e 67 uery,alias:"lang
0000f5c0: 75 61 67 65 2d 78 71 75 65 72 79 22 7d 3b 76 61 uage-xquery"};va
0000f5d0: 72 20 74 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b r t=function(e){
0000f5e0: 72 65 74 75 72 6e 22 73 74 72 69 6e 67 22 3d 3d return"string"==
0000f5f0: 74 79 70 65 6f 66 20 65 3f 65 3a 22 73 74 72 69 typeof e?e:"stri
0000f600: 6e 67 22 3d 3d 74 79 70 65 6f 66 20 65 2e 63 6f ng"==typeof e.co
0000f610: 6e 74 65 6e 74 3f 65 2e 63 6f 6e 74 65 6e 74 3a ntent?e.content:
0000f620: 65 2e 63 6f 6e 74 65 6e 74 2e 6d 61 70 28 74 29 e.content.map(t)
0000f630: 2e 6a 6f 69 6e 28 22 22 29 7d 2c 6e 3d 66 75 6e .join("")},n=fun
0000f640: 63 74 69 6f 6e 28 72 29 7b 66 6f 72 28 76 61 72 ction(r){for(var
0000f650: 20 6f 3d 5b 5d 2c 69 3d 30 3b 69 3c 72 2e 6c 65 o=[],i=0;i<r.le
0000f660: 6e 67 74 68 3b 69 2b 2b 29 7b 76 61 72 20 61 3d ngth;i++){var a=
0000f670: 72 5b 69 5d 2c 73 3d 21 31 3b 69 66 28 22 73 74 r[i],s=!1;if("st
0000f680: 72 69 6e 67 22 21 3d 74 79 70 65 6f 66 20 61 26 ring"!=typeof a&
0000f690: 26 28 22 74 61 67 22 3d 3d 3d 61 2e 74 79 70 65 &("tag"===a.type
0000f6a0: 26 26 61 2e 63 6f 6e 74 65 6e 74 5b 30 5d 26 26 &&a.content[0]&&
0000f6b0: 22 74 61 67 22 3d 3d 3d 61 2e 63 6f 6e 74 65 6e "tag"===a.conten
0000f6c0: 74 5b 30 5d 2e 74 79 70 65 3f 22 3c 2f 22 3d 3d t[0].type?"</"==
0000f6d0: 3d 61 2e 63 6f 6e 74 65 6e 74 5b 30 5d 2e 63 6f =a.content[0].co
0000f6e0: 6e 74 65 6e 74 5b 30 5d 2e 63 6f 6e 74 65 6e 74 ntent[0].content
0000f6f0: 3f 6f 2e 6c 65 6e 67 74 68 3e 30 26 26 6f 5b 6f ?o.length>0&&o[o
0000f700: 2e 6c 65 6e 67 74 68 2d 31 5d 2e 74 61 67 4e 61 .length-1].tagNa
0000f710: 6d 65 3d 3d 3d 74 28 61 2e 63 6f 6e 74 65 6e 74 me===t(a.content
0000f720: 5b 30 5d 2e 63 6f 6e 74 65 6e 74 5b 31 5d 29 26 [0].content[1])&
0000f730: 26 6f 2e 70 6f 70 28 29 3a 22 2f 3e 22 3d 3d 3d &o.pop():"/>"===
0000f740: 61 2e 63 6f 6e 74 65 6e 74 5b 61 2e 63 6f 6e 74 a.content[a.cont
0000f750: 65 6e 74 2e 6c 65 6e 67 74 68 2d 31 5d 2e 63 6f ent.length-1].co
0000f760: 6e 74 65 6e 74 7c 7c 6f 2e 70 75 73 68 28 7b 74 ntent||o.push({t
0000f770: 61 67 4e 61 6d 65 3a 74 28 61 2e 63 6f 6e 74 65 agName:t(a.conte
0000f780: 6e 74 5b 30 5d 2e 63 6f 6e 74 65 6e 74 5b 31 5d nt[0].content[1]
0000f790: 29 2c 6f 70 65 6e 65 64 42 72 61 63 65 73 3a 30 ),openedBraces:0
0000f7a0: 7d 29 3a 21 28 6f 2e 6c 65 6e 67 74 68 3e 30 26 }):!(o.length>0&
0000f7b0: 26 22 70 75 6e 63 74 75 61 74 69 6f 6e 22 3d 3d &"punctuation"==
0000f7c0: 3d 61 2e 74 79 70 65 26 26 22 7b 22 3d 3d 3d 61 =a.type&&"{"===a
0000f7d0: 2e 63 6f 6e 74 65 6e 74 29 7c 7c 72 5b 69 2b 31 .content)||r[i+1
0000f7e0: 5d 26 26 22 70 75 6e 63 74 75 61 74 69 6f 6e 22 ]&&"punctuation"
0000f7f0: 3d 3d 3d 72 5b 69 2b 31 5d 2e 74 79 70 65 26 26 ===r[i+1].type&&
0000f800: 22 7b 22 3d 3d 3d 72 5b 69 2b 31 5d 2e 63 6f 6e "{"===r[i+1].con
0000f810: 74 65 6e 74 7c 7c 72 5b 69 2d 31 5d 26 26 22 70 tent||r[i-1]&&"p
0000f820: 6c 61 69 6e 2d 74 65 78 74 22 3d 3d 3d 72 5b 69 lain-text"===r[i
0000f830: 2d 31 5d 2e 74 79 70 65 26 26 22 7b 22 3d 3d 3d -1].type&&"{"===
0000f840: 72 5b 69 2d 31 5d 2e 63 6f 6e 74 65 6e 74 3f 6f r[i-1].content?o
0000f850: 2e 6c 65 6e 67 74 68 3e 30 26 26 6f 5b 6f 2e 6c .length>0&&o[o.l
0000f860: 65 6e 67 74 68 2d 31 5d 2e 6f 70 65 6e 65 64 42 ength-1].openedB
0000f870: 72 61 63 65 73 3e 30 26 26 22 70 75 6e 63 74 75 races>0&&"punctu
0000f880: 61 74 69 6f 6e 22 3d 3d 3d 61 2e 74 79 70 65 26 ation"===a.type&
0000f890: 26 22 7d 22 3d 3d 3d 61 2e 63 6f 6e 74 65 6e 74 &"}"===a.content
0000f8a0: 3f 6f 5b 6f 2e 6c 65 6e 67 74 68 2d 31 5d 2e 6f ?o[o.length-1].o
0000f8b0: 70 65 6e 65 64 42 72 61 63 65 73 2d 2d 3a 22 63 penedBraces--:"c
0000f8c0: 6f 6d 6d 65 6e 74 22 21 3d 3d 61 2e 74 79 70 65 omment"!==a.type
0000f8d0: 26 26 28 73 3d 21 30 29 3a 6f 5b 6f 2e 6c 65 6e &&(s=!0):o[o.len
0000f8e0: 67 74 68 2d 31 5d 2e 6f 70 65 6e 65 64 42 72 61 gth-1].openedBra
0000f8f0: 63 65 73 2b 2b 29 2c 28 73 7c 7c 22 73 74 72 69 ces++),(s||"stri
0000f900: 6e 67 22 3d 3d 74 79 70 65 6f 66 20 61 29 26 26 ng"==typeof a)&&
0000f910: 6f 2e 6c 65 6e 67 74 68 3e 30 26 26 30 3d 3d 3d o.length>0&&0===
0000f920: 6f 5b 6f 2e 6c 65 6e 67 74 68 2d 31 5d 2e 6f 70 o[o.length-1].op
0000f930: 65 6e 65 64 42 72 61 63 65 73 29 7b 76 61 72 20 enedBraces){var
0000f940: 6c 3d 74 28 61 29 3b 69 3c 72 2e 6c 65 6e 67 74 l=t(a);i<r.lengt
0000f950: 68 2d 31 26 26 28 22 73 74 72 69 6e 67 22 3d 3d h-1&&("string"==
0000f960: 74 79 70 65 6f 66 20 72 5b 69 2b 31 5d 7c 7c 22 typeof r[i+1]||"
0000f970: 70 6c 61 69 6e 2d 74 65 78 74 22 3d 3d 3d 72 5b plain-text"===r[
0000f980: 69 2b 31 5d 2e 74 79 70 65 29 26 26 28 6c 2b 3d i+1].type)&&(l+=
0000f990: 74 28 72 5b 69 2b 31 5d 29 2c 72 2e 73 70 6c 69 t(r[i+1]),r.spli
0000f9a0: 63 65 28 69 2b 31 2c 31 29 29 2c 69 3e 30 26 26 ce(i+1,1)),i>0&&
0000f9b0: 28 22 73 74 72 69 6e 67 22 3d 3d 74 79 70 65 6f ("string"==typeo
0000f9c0: 66 20 72 5b 69 2d 31 5d 7c 7c 22 70 6c 61 69 6e f r[i-1]||"plain
0000f9d0: 2d 74 65 78 74 22 3d 3d 3d 72 5b 69 2d 31 5d 2e -text"===r[i-1].
0000f9e0: 74 79 70 65 29 26 26 28 6c 3d 74 28 72 5b 69 2d type)&&(l=t(r[i-
0000f9f0: 31 5d 29 2b 6c 2c 72 2e 73 70 6c 69 63 65 28 69 1])+l,r.splice(i
0000fa00: 2d 31 2c 31 29 2c 69 2d 2d 29 2c 2f 5e 5c 73 2b -1,1),i--),/^\s+
0000fa10: 24 2f 2e 74 65 73 74 28 6c 29 3f 72 5b 69 5d 3d $/.test(l)?r[i]=
0000fa20: 6c 3a 72 5b 69 5d 3d 6e 65 77 20 65 2e 54 6f 6b l:r[i]=new e.Tok
0000fa30: 65 6e 28 22 70 6c 61 69 6e 2d 74 65 78 74 22 2c en("plain-text",
0000fa40: 6c 2c 6e 75 6c 6c 2c 6c 29 7d 61 2e 63 6f 6e 74 l,null,l)}a.cont
0000fa50: 65 6e 74 26 26 22 73 74 72 69 6e 67 22 21 3d 74 ent&&"string"!=t
0000fa60: 79 70 65 6f 66 20 61 2e 63 6f 6e 74 65 6e 74 26 ypeof a.content&
0000fa70: 26 6e 28 61 2e 63 6f 6e 74 65 6e 74 29 7d 7d 3b &n(a.content)}};
0000fa80: 65 2e 68 6f 6f 6b 73 2e 61 64 64 28 22 61 66 74 e.hooks.add("aft
0000fa90: 65 72 2d 74 6f 6b 65 6e 69 7a 65 22 2c 28 66 75 er-tokenize",(fu
0000faa0: 6e 63 74 69 6f 6e 28 65 29 7b 22 78 71 75 65 72 nction(e){"xquer
0000fab0: 79 22 3d 3d 3d 65 2e 6c 61 6e 67 75 61 67 65 26 y"===e.language&
0000fac0: 26 6e 28 65 2e 74 6f 6b 65 6e 73 29 7d 29 29 7d &n(e.tokens)}))}
0000fad0: 28 65 29 7d 65 2e 65 78 70 6f 72 74 73 3d 74 2c (e)}e.exports=t,
0000fae0: 74 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 22 78 t.displayName="x
0000faf0: 71 75 65 72 79 22 2c 74 2e 61 6c 69 61 73 65 73 query",t.aliases
0000fb00: 3d 5b 5d 7d 2c 31 37 34 39 32 3a 66 75 6e 63 74 =[]},17492:funct
0000fb10: 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 74 72 69 ion(e){"use stri
0000fb20: 63 74 22 3b 66 75 6e 63 74 69 6f 6e 20 74 28 65 ct";function t(e
0000fb30: 29 7b 21 66 75 6e 63 74 69 6f 6e 28 65 29 7b 76 ){!function(e){v
0000fb40: 61 72 20 74 3d 2f 5b 2a 26 5d 5b 5e 5c 73 5b 5c ar t=/[*&][^\s[\
0000fb50: 5d 7b 7d 2c 5d 2b 2f 2c 6e 3d 2f 21 28 3f 3a 3c ]{},]+/,n=/!(?:<
0000fb60: 5b 5c 77 5c 2d 25 23 3b 2f 3f 3a 40 26 3d 2b 24 [\w\-%#;/?:@&=+$
0000fb70: 2c 2e 21 7e 2a 27 28 29 5b 5c 5d 5d 2b 3e 7c 28 ,.!~*'()[\]]+>|(
0000fb80: 3f 3a 5b 61 2d 7a 41 2d 5a 5c 64 2d 5d 2a 21 29 ?:[a-zA-Z\d-]*!)
0000fb90: 3f 5b 5c 77 5c 2d 25 23 3b 2f 3f 3a 40 26 3d 2b ?[\w\-%#;/?:@&=+
0000fba0: 24 2e 7e 2a 27 28 29 5d 2b 29 3f 2f 2c 72 3d 22 $.~*'()]+)?/,r="
0000fbb0: 28 3f 3a 22 2b 6e 2e 73 6f 75 72 63 65 2b 22 28 (?:"+n.source+"(
0000fbc0: 3f 3a 5b 20 5c 74 5d 2b 22 2b 74 2e 73 6f 75 72 ?:[ \t]+"+t.sour
0000fbd0: 63 65 2b 22 29 3f 7c 22 2b 74 2e 73 6f 75 72 63 ce+")?|"+t.sourc
0000fbe0: 65 2b 22 28 3f 3a 5b 20 5c 74 5d 2b 22 2b 6e 2e e+"(?:[ \t]+"+n.
0000fbf0: 73 6f 75 72 63 65 2b 22 29 3f 29 22 2c 6f 3d 2f source+")?)",o=/
0000fc00: 28 3f 3a 5b 5e 5c 73 5c 78 30 30 2d 5c 78 30 38 (?:[^\s\x00-\x08
0000fc10: 5c 78 30 65 2d 5c 78 31 66 21 22 23 25 26 27 2a \x0e-\x1f!"#%&'*
0000fc20: 2c 5c 2d 3a 3e 3f 40 5b 5c 5d 60 7b 7c 7d 5c 78 ,\-:>?@[\]`{|}\x
0000fc30: 37 66 2d 5c 78 38 34 5c 78 38 36 2d 5c 78 39 66 7f-\x84\x86-\x9f
0000fc40: 5c 75 64 38 30 30 2d 5c 75 64 66 66 66 5c 75 66 \ud800-\udfff\uf
0000fc50: 66 66 65 5c 75 66 66 66 66 5d 7c 5b 3f 3a 2d 5d ffe\uffff]|[?:-]
0000fc60: 3c 50 4c 41 49 4e 3e 29 28 3f 3a 5b 20 5c 74 5d <PLAIN>)(?:[ \t]
0000fc70: 2a 28 3f 3a 28 3f 21 5b 23 3a 5d 29 3c 50 4c 41 *(?:(?![#:])<PLA
0000fc80: 49 4e 3e 7c 3a 3c 50 4c 41 49 4e 3e 29 29 2a 2f IN>|:<PLAIN>))*/
0000fc90: 2e 73 6f 75 72 63 65 2e 72 65 70 6c 61 63 65 28 .source.replace(
0000fca0: 2f 3c 50 4c 41 49 4e 3e 2f 67 2c 28 66 75 6e 63 /<PLAIN>/g,(func
0000fcb0: 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 2f 5b 5e tion(){return/[^
0000fcc0: 5c 73 5c 78 30 30 2d 5c 78 30 38 5c 78 30 65 2d \s\x00-\x08\x0e-
0000fcd0: 5c 78 31 66 2c 5b 5c 5d 7b 7d 5c 78 37 66 2d 5c \x1f,[\]{}\x7f-\
0000fce0: 78 38 34 5c 78 38 36 2d 5c 78 39 66 5c 75 64 38 x84\x86-\x9f\ud8
0000fcf0: 30 30 2d 5c 75 64 66 66 66 5c 75 66 66 66 65 5c 00-\udfff\ufffe\
0000fd00: 75 66 66 66 66 5d 2f 2e 73 6f 75 72 63 65 7d 29 uffff]/.source})
0000fd10: 29 2c 69 3d 2f 22 28 3f 3a 5b 5e 22 5c 5c 5c 72 ),i=/"(?:[^"\\\r
0000fd20: 5c 6e 5d 7c 5c 5c 2e 29 2a 22 7c 27 28 3f 3a 5b \n]|\\.)*"|'(?:[
0000fd30: 5e 27 5c 5c 5c 72 5c 6e 5d 7c 5c 5c 2e 29 2a 27 ^'\\\r\n]|\\.)*'
0000fd40: 2f 2e 73 6f 75 72 63 65 3b 66 75 6e 63 74 69 6f /.source;functio
0000fd50: 6e 20 61 28 65 2c 74 29 7b 74 3d 28 74 7c 7c 22 n a(e,t){t=(t||"
0000fd60: 22 29 2e 72 65 70 6c 61 63 65 28 2f 6d 2f 67 2c ").replace(/m/g,
0000fd70: 22 22 29 2b 22 6d 22 3b 76 61 72 20 6e 3d 2f 28 "")+"m";var n=/(
0000fd80: 5b 3a 5c 2d 2c 5b 7b 5d 5c 73 2a 28 3f 3a 5c 73 [:\-,[{]\s*(?:\s
0000fd90: 3c 3c 70 72 6f 70 3e 3e 5b 20 5c 74 5d 2b 29 3f <<prop>>[ \t]+)?
0000fda0: 29 28 3f 3a 3c 3c 76 61 6c 75 65 3e 3e 29 28 3f )(?:<<value>>)(?
0000fdb0: 3d 5b 20 5c 74 5d 2a 28 3f 3a 24 7c 2c 7c 5c 5d =[ \t]*(?:$|,|\]
0000fdc0: 7c 5c 7d 7c 28 3f 3a 5b 5c 72 5c 6e 5d 5c 73 2a |\}|(?:[\r\n]\s*
0000fdd0: 29 3f 23 29 29 2f 2e 73 6f 75 72 63 65 2e 72 65 )?#))/.source.re
0000fde0: 70 6c 61 63 65 28 2f 3c 3c 70 72 6f 70 3e 3e 2f place(/<<prop>>/
0000fdf0: 67 2c 28 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 g,(function(){re
0000fe00: 74 75 72 6e 20 72 7d 29 29 2e 72 65 70 6c 61 63 turn r})).replac
0000fe10: 65 28 2f 3c 3c 76 61 6c 75 65 3e 3e 2f 67 2c 28 e(/<<value>>/g,(
0000fe20: 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 function(){retur
0000fe30: 6e 20 65 7d 29 29 3b 72 65 74 75 72 6e 20 52 65 n e}));return Re
0000fe40: 67 45 78 70 28 6e 2c 74 29 7d 65 2e 6c 61 6e 67 gExp(n,t)}e.lang
0000fe50: 75 61 67 65 73 2e 79 61 6d 6c 3d 7b 73 63 61 6c uages.yaml={scal
0000fe60: 61 72 3a 7b 70 61 74 74 65 72 6e 3a 52 65 67 45 ar:{pattern:RegE
0000fe70: 78 70 28 2f 28 5b 5c 2d 3a 5d 5c 73 2a 28 3f 3a xp(/([\-:]\s*(?:
0000fe80: 5c 73 3c 3c 70 72 6f 70 3e 3e 5b 20 5c 74 5d 2b \s<<prop>>[ \t]+
0000fe90: 29 3f 5b 7c 3e 5d 29 5b 20 5c 74 5d 2a 28 3f 3a )?[|>])[ \t]*(?:
0000fea0: 28 28 3f 3a 5c 72 3f 5c 6e 7c 5c 72 29 5b 20 5c ((?:\r?\n|\r)[ \
0000feb0: 74 5d 2b 29 5c 53 5b 5e 5c 72 5c 6e 5d 2a 28 3f t]+)\S[^\r\n]*(?
0000fec0: 3a 5c 32 5b 5e 5c 72 5c 6e 5d 2b 29 2a 29 2f 2e :\2[^\r\n]+)*)/.
0000fed0: 73 6f 75 72 63 65 2e 72 65 70 6c 61 63 65 28 2f source.replace(/
0000fee0: 3c 3c 70 72 6f 70 3e 3e 2f 67 2c 28 66 75 6e 63 <<prop>>/g,(func
0000fef0: 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 72 7d tion(){return r}
0000ff00: 29 29 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 ))),lookbehind:!
0000ff10: 30 2c 61 6c 69 61 73 3a 22 73 74 72 69 6e 67 22 0,alias:"string"
0000ff20: 7d 2c 63 6f 6d 6d 65 6e 74 3a 2f 23 2e 2a 2f 2c },comment:/#.*/,
0000ff30: 6b 65 79 3a 7b 70 61 74 74 65 72 6e 3a 52 65 67 key:{pattern:Reg
0000ff40: 45 78 70 28 2f 28 28 3f 3a 5e 7c 5b 3a 5c 2d 2c Exp(/((?:^|[:\-,
0000ff50: 5b 7b 5c 72 5c 6e 3f 5d 29 5b 20 5c 74 5d 2a 28 [{\r\n?])[ \t]*(
0000ff60: 3f 3a 3c 3c 70 72 6f 70 3e 3e 5b 20 5c 74 5d 2b ?:<<prop>>[ \t]+
0000ff70: 29 3f 29 3c 3c 6b 65 79 3e 3e 28 3f 3d 5c 73 2a )?)<<key>>(?=\s*
0000ff80: 3a 5c 73 29 2f 2e 73 6f 75 72 63 65 2e 72 65 70 :\s)/.source.rep
0000ff90: 6c 61 63 65 28 2f 3c 3c 70 72 6f 70 3e 3e 2f 67 lace(/<<prop>>/g
0000ffa0: 2c 28 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 ,(function(){ret
0000ffb0: 75 72 6e 20 72 7d 29 29 2e 72 65 70 6c 61 63 65 urn r})).replace
0000ffc0: 28 2f 3c 3c 6b 65 79 3e 3e 2f 67 2c 28 66 75 6e (/<<key>>/g,(fun
0000ffd0: 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 22 28 ction(){return"(
0000ffe0: 3f 3a 22 2b 6f 2b 22 7c 22 2b 69 2b 22 29 22 7d ?:"+o+"|"+i+")"}
0000fff0: 29 29 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 ))),lookbehind:!
00010000: 30 2c 67 72 65 65 64 79 3a 21 30 2c 61 6c 69 61 0,greedy:!0,alia
00010010: 73 3a 22 61 74 72 75 6c 65 22 7d 2c 64 69 72 65 s:"atrule"},dire
00010020: 63 74 69 76 65 3a 7b 70 61 74 74 65 72 6e 3a 2f ctive:{pattern:/
00010030: 28 5e 5b 20 5c 74 5d 2a 29 25 2e 2b 2f 6d 2c 6c (^[ \t]*)%.+/m,l
00010040: 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 61 6c 69 ookbehind:!0,ali
00010050: 61 73 3a 22 69 6d 70 6f 72 74 61 6e 74 22 7d 2c as:"important"},
00010060: 64 61 74 65 74 69 6d 65 3a 7b 70 61 74 74 65 72 datetime:{patter
00010070: 6e 3a 61 28 2f 5c 64 7b 34 7d 2d 5c 64 5c 64 3f n:a(/\d{4}-\d\d?
00010080: 2d 5c 64 5c 64 3f 28 3f 3a 5b 74 54 5d 7c 5b 20 -\d\d?(?:[tT]|[
00010090: 5c 74 5d 2b 29 5c 64 5c 64 3f 3a 5c 64 7b 32 7d \t]+)\d\d?:\d{2}
000100a0: 3a 5c 64 7b 32 7d 28 3f 3a 5c 2e 5c 64 2a 29 3f :\d{2}(?:\.\d*)?
000100b0: 28 3f 3a 5b 20 5c 74 5d 2a 28 3f 3a 5a 7c 5b 2d (?:[ \t]*(?:Z|[-
000100c0: 2b 5d 5c 64 5c 64 3f 28 3f 3a 3a 5c 64 7b 32 7d +]\d\d?(?::\d{2}
000100d0: 29 3f 29 29 3f 7c 5c 64 7b 34 7d 2d 5c 64 7b 32 )?))?|\d{4}-\d{2
000100e0: 7d 2d 5c 64 7b 32 7d 7c 5c 64 5c 64 3f 3a 5c 64 }-\d{2}|\d\d?:\d
000100f0: 7b 32 7d 28 3f 3a 3a 5c 64 7b 32 7d 28 3f 3a 5c {2}(?::\d{2}(?:\
00010100: 2e 5c 64 2a 29 3f 29 3f 2f 2e 73 6f 75 72 63 65 .\d*)?)?/.source
00010110: 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c ),lookbehind:!0,
00010120: 61 6c 69 61 73 3a 22 6e 75 6d 62 65 72 22 7d 2c alias:"number"},
00010130: 62 6f 6f 6c 65 61 6e 3a 7b 70 61 74 74 65 72 6e boolean:{pattern
00010140: 3a 61 28 2f 66 61 6c 73 65 7c 74 72 75 65 2f 2e :a(/false|true/.
00010150: 73 6f 75 72 63 65 2c 22 69 22 29 2c 6c 6f 6f 6b source,"i"),look
00010160: 62 65 68 69 6e 64 3a 21 30 2c 61 6c 69 61 73 3a behind:!0,alias:
00010170: 22 69 6d 70 6f 72 74 61 6e 74 22 7d 2c 6e 75 6c "important"},nul
00010180: 6c 3a 7b 70 61 74 74 65 72 6e 3a 61 28 2f 6e 75 l:{pattern:a(/nu
00010190: 6c 6c 7c 7e 2f 2e 73 6f 75 72 63 65 2c 22 69 22 ll|~/.source,"i"
000101a0: 29 2c 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 2c ),lookbehind:!0,
000101b0: 61 6c 69 61 73 3a 22 69 6d 70 6f 72 74 61 6e 74 alias:"important
000101c0: 22 7d 2c 73 74 72 69 6e 67 3a 7b 70 61 74 74 65 "},string:{patte
000101d0: 72 6e 3a 61 28 69 29 2c 6c 6f 6f 6b 62 65 68 69 rn:a(i),lookbehi
000101e0: 6e 64 3a 21 30 2c 67 72 65 65 64 79 3a 21 30 7d nd:!0,greedy:!0}
000101f0: 2c 6e 75 6d 62 65 72 3a 7b 70 61 74 74 65 72 6e ,number:{pattern
00010200: 3a 61 28 2f 5b 2b 2d 5d 3f 28 3f 3a 30 78 5b 5c :a(/[+-]?(?:0x[\
00010210: 64 61 2d 66 5d 2b 7c 30 6f 5b 30 2d 37 5d 2b 7c da-f]+|0o[0-7]+|
00010220: 28 3f 3a 5c 64 2b 28 3f 3a 5c 2e 5c 64 2a 29 3f (?:\d+(?:\.\d*)?
00010230: 7c 5c 2e 5c 64 2b 29 28 3f 3a 65 5b 2b 2d 5d 3f |\.\d+)(?:e[+-]?
00010240: 5c 64 2b 29 3f 7c 5c 2e 69 6e 66 7c 5c 2e 6e 61 \d+)?|\.inf|\.na
00010250: 6e 29 2f 2e 73 6f 75 72 63 65 2c 22 69 22 29 2c n)/.source,"i"),
00010260: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 74 lookbehind:!0},t
00010270: 61 67 3a 6e 2c 69 6d 70 6f 72 74 61 6e 74 3a 74 ag:n,important:t
00010280: 2c 70 75 6e 63 74 75 61 74 69 6f 6e 3a 2f 2d 2d ,punctuation:/--
00010290: 2d 7c 5b 3a 5b 5c 5d 7b 7d 5c 2d 2c 7c 3e 3f 5d -|[:[\]{}\-,|>?]
000102a0: 7c 5c 2e 5c 2e 5c 2e 2f 7d 2c 65 2e 6c 61 6e 67 |\.\.\./},e.lang
000102b0: 75 61 67 65 73 2e 79 6d 6c 3d 65 2e 6c 61 6e 67 uages.yml=e.lang
000102c0: 75 61 67 65 73 2e 79 61 6d 6c 7d 28 65 29 7d 65 uages.yaml}(e)}e
000102d0: 2e 65 78 70 6f 72 74 73 3d 74 2c 74 2e 64 69 73 .exports=t,t.dis
000102e0: 70 6c 61 79 4e 61 6d 65 3d 22 79 61 6d 6c 22 2c playName="yaml",
000102f0: 74 2e 61 6c 69 61 73 65 73 3d 5b 22 79 6d 6c 22 t.aliases=["yml"
00010300: 5d 7d 2c 37 30 34 31 35 3a 66 75 6e 63 74 69 6f ]},70415:functio
00010310: 6e 28 65 29 7b 22 75 73 65 20 73 74 72 69 63 74 n(e){"use strict
00010320: 22 3b 66 75 6e 63 74 69 6f 6e 20 74 28 65 29 7b ";function t(e){
00010330: 65 2e 6c 61 6e 67 75 61 67 65 73 2e 79 61 6e 67 e.languages.yang
00010340: 3d 7b 63 6f 6d 6d 65 6e 74 3a 2f 5c 2f 5c 2a 5b ={comment:/\/\*[
00010350: 5c 73 5c 53 5d 2a 3f 5c 2a 5c 2f 7c 5c 2f 5c 2f \s\S]*?\*\/|\/\/
00010360: 2e 2a 2f 2c 73 74 72 69 6e 67 3a 7b 70 61 74 74 .*/,string:{patt
00010370: 65 72 6e 3a 2f 22 28 3f 3a 5b 5e 5c 5c 22 5d 7c ern:/"(?:[^\\"]|
00010380: 5c 5c 2e 29 2a 22 7c 27 5b 5e 27 5d 2a 27 2f 2c \\.)*"|'[^']*'/,
00010390: 67 72 65 65 64 79 3a 21 30 7d 2c 6b 65 79 77 6f greedy:!0},keywo
000103a0: 72 64 3a 7b 70 61 74 74 65 72 6e 3a 2f 28 5e 7c rd:{pattern:/(^|
000103b0: 5b 7b 7d 3b 5c 72 5c 6e 5d 5b 20 5c 74 5d 2a 29 [{};\r\n][ \t]*)
000103c0: 5b 61 2d 7a 5f 5d 5b 5c 77 2e 2d 5d 2a 2f 69 2c [a-z_][\w.-]*/i,
000103d0: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 6e lookbehind:!0},n
000103e0: 61 6d 65 73 70 61 63 65 3a 7b 70 61 74 74 65 72 amespace:{patter
000103f0: 6e 3a 2f 28 5c 73 29 5b 61 2d 7a 5f 5d 5b 5c 77 n:/(\s)[a-z_][\w
00010400: 2e 2d 5d 2a 28 3f 3d 3a 29 2f 69 2c 6c 6f 6f 6b .-]*(?=:)/i,look
00010410: 62 65 68 69 6e 64 3a 21 30 7d 2c 62 6f 6f 6c 65 behind:!0},boole
00010420: 61 6e 3a 2f 5c 62 28 3f 3a 66 61 6c 73 65 7c 74 an:/\b(?:false|t
00010430: 72 75 65 29 5c 62 2f 2c 6f 70 65 72 61 74 6f 72 rue)\b/,operator
00010440: 3a 2f 5c 2b 2f 2c 70 75 6e 63 74 75 61 74 69 6f :/\+/,punctuatio
00010450: 6e 3a 2f 5b 7b 7d 3b 3a 5d 2f 7d 7d 65 2e 65 78 n:/[{};:]/}}e.ex
00010460: 70 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 ports=t,t.displa
00010470: 79 4e 61 6d 65 3d 22 79 61 6e 67 22 2c 74 2e 61 yName="yang",t.a
00010480: 6c 69 61 73 65 73 3d 5b 5d 7d 2c 37 33 34 30 31 liases=[]},73401
00010490: 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 :function(e){"us
000104a0: 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 74 69 e strict";functi
000104b0: 6f 6e 20 74 28 65 29 7b 21 66 75 6e 63 74 69 6f on t(e){!functio
000104c0: 6e 28 65 29 7b 66 75 6e 63 74 69 6f 6e 20 74 28 n(e){function t(
000104d0: 65 29 7b 72 65 74 75 72 6e 20 66 75 6e 63 74 69 e){return functi
000104e0: 6f 6e 28 29 7b 72 65 74 75 72 6e 20 65 7d 7d 76 on(){return e}}v
000104f0: 61 72 20 6e 3d 2f 5c 62 28 3f 3a 61 6c 69 67 6e ar n=/\b(?:align
00010500: 7c 61 6c 6c 6f 77 7a 65 72 6f 7c 61 6e 64 7c 61 |allowzero|and|a
00010510: 6e 79 66 72 61 6d 65 7c 61 6e 79 74 79 70 65 7c nyframe|anytype|
00010520: 61 73 6d 7c 61 73 79 6e 63 7c 61 77 61 69 74 7c asm|async|await|
00010530: 62 72 65 61 6b 7c 63 61 6e 63 65 6c 7c 63 61 74 break|cancel|cat
00010540: 63 68 7c 63 6f 6d 70 74 69 6d 65 7c 63 6f 6e 73 ch|comptime|cons
00010550: 74 7c 63 6f 6e 74 69 6e 75 65 7c 64 65 66 65 72 t|continue|defer
00010560: 7c 65 6c 73 65 7c 65 6e 75 6d 7c 65 72 72 64 65 |else|enum|errde
00010570: 66 65 72 7c 65 72 72 6f 72 7c 65 78 70 6f 72 74 fer|error|export
00010580: 7c 65 78 74 65 72 6e 7c 66 6e 7c 66 6f 72 7c 69 |extern|fn|for|i
00010590: 66 7c 69 6e 6c 69 6e 65 7c 6c 69 6e 6b 73 65 63 f|inline|linksec
000105a0: 74 69 6f 6e 7c 6e 61 6b 65 64 63 63 7c 6e 6f 61 tion|nakedcc|noa
000105b0: 6c 69 61 73 7c 6e 6f 73 75 73 70 65 6e 64 7c 6e lias|nosuspend|n
000105c0: 75 6c 6c 7c 6f 72 7c 6f 72 65 6c 73 65 7c 70 61 ull|or|orelse|pa
000105d0: 63 6b 65 64 7c 70 72 6f 6d 69 73 65 7c 70 75 62 cked|promise|pub
000105e0: 7c 72 65 73 75 6d 65 7c 72 65 74 75 72 6e 7c 73 |resume|return|s
000105f0: 74 64 63 61 6c 6c 63 63 7c 73 74 72 75 63 74 7c tdcallcc|struct|
00010600: 73 75 73 70 65 6e 64 7c 73 77 69 74 63 68 7c 74 suspend|switch|t
00010610: 65 73 74 7c 74 68 72 65 61 64 6c 6f 63 61 6c 7c est|threadlocal|
00010620: 74 72 79 7c 75 6e 64 65 66 69 6e 65 64 7c 75 6e try|undefined|un
00010630: 69 6f 6e 7c 75 6e 72 65 61 63 68 61 62 6c 65 7c ion|unreachable|
00010640: 75 73 69 6e 67 6e 61 6d 65 73 70 61 63 65 7c 76 usingnamespace|v
00010650: 61 72 7c 76 6f 6c 61 74 69 6c 65 7c 77 68 69 6c ar|volatile|whil
00010660: 65 29 5c 62 2f 2c 72 3d 22 5c 5c 62 28 3f 21 22 e)\b/,r="\\b(?!"
00010670: 2b 6e 2e 73 6f 75 72 63 65 2b 22 29 28 3f 21 5c +n.source+")(?!\
00010680: 5c 64 29 5c 5c 77 2b 5c 5c 62 22 2c 6f 3d 2f 61 \d)\\w+\\b",o=/a
00010690: 6c 69 67 6e 5c 73 2a 5c 28 28 3f 3a 5b 5e 28 29 lign\s*\((?:[^()
000106a0: 5d 7c 5c 28 5b 5e 28 29 5d 2a 5c 29 29 2a 5c 29 ]|\([^()]*\))*\)
000106b0: 2f 2e 73 6f 75 72 63 65 2c 69 3d 22 28 3f 21 5c /.source,i="(?!\
000106c0: 5c 73 29 28 3f 3a 21 3f 5c 5c 73 2a 28 3f 3a 22 \s)(?:!?\\s*(?:"
000106d0: 2b 2f 28 3f 3a 5c 3f 7c 5c 62 70 72 6f 6d 69 73 +/(?:\?|\bpromis
000106e0: 65 2d 3e 7c 28 3f 3a 5c 5b 5b 5e 5b 5c 5d 5d 2a e->|(?:\[[^[\]]*
000106f0: 5c 5d 7c 5c 2a 28 3f 21 5c 2a 29 7c 5c 2a 5c 2a \]|\*(?!\*)|\*\*
00010700: 29 28 3f 3a 5c 73 2a 3c 41 4c 49 47 4e 3e 7c 5c )(?:\s*<ALIGN>|\
00010710: 73 2a 63 6f 6e 73 74 5c 62 7c 5c 73 2a 76 6f 6c s*const\b|\s*vol
00010720: 61 74 69 6c 65 5c 62 7c 5c 73 2a 61 6c 6c 6f 77 atile\b|\s*allow
00010730: 7a 65 72 6f 5c 62 29 2a 29 2f 2e 73 6f 75 72 63 zero\b)*)/.sourc
00010740: 65 2e 72 65 70 6c 61 63 65 28 2f 3c 41 4c 49 47 e.replace(/<ALIG
00010750: 4e 3e 2f 67 2c 74 28 6f 29 29 2b 22 5c 5c 73 2a N>/g,t(o))+"\\s*
00010760: 29 2a 22 2b 2f 28 3f 3a 5c 62 70 72 6f 6d 69 73 )*"+/(?:\bpromis
00010770: 65 5c 62 7c 28 3f 3a 5c 62 65 72 72 6f 72 5c 2e e\b|(?:\berror\.
00010780: 29 3f 3c 49 44 3e 28 3f 3a 5c 2e 3c 49 44 3e 29 )?<ID>(?:\.<ID>)
00010790: 2a 28 3f 21 5c 73 2b 3c 49 44 3e 29 29 2f 2e 73 *(?!\s+<ID>))/.s
000107a0: 6f 75 72 63 65 2e 72 65 70 6c 61 63 65 28 2f 3c ource.replace(/<
000107b0: 49 44 3e 2f 67 2c 74 28 72 29 29 2b 22 29 2b 22 ID>/g,t(r))+")+"
000107c0: 3b 65 2e 6c 61 6e 67 75 61 67 65 73 2e 7a 69 67 ;e.languages.zig
000107d0: 3d 7b 63 6f 6d 6d 65 6e 74 3a 5b 7b 70 61 74 74 ={comment:[{patt
000107e0: 65 72 6e 3a 2f 5c 2f 5c 2f 5b 2f 21 5d 2e 2a 2f ern:/\/\/[/!].*/
000107f0: 2c 61 6c 69 61 73 3a 22 64 6f 63 2d 63 6f 6d 6d ,alias:"doc-comm
00010800: 65 6e 74 22 7d 2c 2f 5c 2f 7b 32 7d 2e 2a 2f 5d ent"},/\/{2}.*/]
00010810: 2c 73 74 72 69 6e 67 3a 5b 7b 70 61 74 74 65 72 ,string:[{patter
00010820: 6e 3a 2f 28 5e 7c 5b 5e 5c 5c 40 5d 29 63 3f 22 n:/(^|[^\\@])c?"
00010830: 28 3f 3a 5b 5e 22 5c 5c 5c 72 5c 6e 5d 7c 5c 5c (?:[^"\\\r\n]|\\
00010840: 2e 29 2a 22 2f 2c 6c 6f 6f 6b 62 65 68 69 6e 64 .)*"/,lookbehind
00010850: 3a 21 30 2c 67 72 65 65 64 79 3a 21 30 7d 2c 7b :!0,greedy:!0},{
00010860: 70 61 74 74 65 72 6e 3a 2f 28 5b 5c 72 5c 6e 5d pattern:/([\r\n]
00010870: 29 28 5b 20 5c 74 5d 2b 63 3f 5c 5c 7b 32 7d 29 )([ \t]+c?\\{2})
00010880: 2e 2a 28 3f 3a 28 3f 3a 5c 72 5c 6e 3f 7c 5c 6e .*(?:(?:\r\n?|\n
00010890: 29 5c 32 2e 2a 29 2a 2f 2c 6c 6f 6f 6b 62 65 68 )\2.*)*/,lookbeh
000108a0: 69 6e 64 3a 21 30 2c 67 72 65 65 64 79 3a 21 30 ind:!0,greedy:!0
000108b0: 7d 5d 2c 63 68 61 72 3a 7b 70 61 74 74 65 72 6e }],char:{pattern
000108c0: 3a 2f 28 5e 7c 5b 5e 5c 5c 5d 29 27 28 3f 3a 5b :/(^|[^\\])'(?:[
000108d0: 5e 27 5c 5c 5c 72 5c 6e 5d 7c 5b 5c 75 44 38 30 ^'\\\r\n]|[\uD80
000108e0: 30 2d 5c 75 44 46 46 46 5d 7b 32 7d 7c 5c 5c 28 0-\uDFFF]{2}|\\(
000108f0: 3f 3a 2e 7c 78 5b 61 2d 66 41 2d 46 5c 64 5d 7b ?:.|x[a-fA-F\d]{
00010900: 32 7d 7c 75 5c 7b 5b 61 2d 66 41 2d 46 5c 64 5d 2}|u\{[a-fA-F\d]
00010910: 7b 31 2c 36 7d 5c 7d 29 29 27 2f 2c 6c 6f 6f 6b {1,6}\}))'/,look
00010920: 62 65 68 69 6e 64 3a 21 30 2c 67 72 65 65 64 79 behind:!0,greedy
00010930: 3a 21 30 7d 2c 62 75 69 6c 74 69 6e 3a 2f 5c 42 :!0},builtin:/\B
00010940: 40 28 3f 21 5c 64 29 5c 77 2b 28 3f 3d 5c 73 2a @(?!\d)\w+(?=\s*
00010950: 5c 28 29 2f 2c 6c 61 62 65 6c 3a 7b 70 61 74 74 \()/,label:{patt
00010960: 65 72 6e 3a 2f 28 5c 62 28 3f 3a 62 72 65 61 6b ern:/(\b(?:break
00010970: 7c 63 6f 6e 74 69 6e 75 65 29 5c 73 2a 3a 5c 73 |continue)\s*:\s
00010980: 2a 29 5c 77 2b 5c 62 7c 5c 62 28 3f 21 5c 64 29 *)\w+\b|\b(?!\d)
00010990: 5c 77 2b 5c 62 28 3f 3d 5c 73 2a 3a 5c 73 2a 28 \w+\b(?=\s*:\s*(
000109a0: 3f 3a 5c 7b 7c 77 68 69 6c 65 5c 62 29 29 2f 2c ?:\{|while\b))/,
000109b0: 6c 6f 6f 6b 62 65 68 69 6e 64 3a 21 30 7d 2c 22 lookbehind:!0},"
000109c0: 63 6c 61 73 73 2d 6e 61 6d 65 22 3a 5b 2f 5c 62 class-name":[/\b
000109d0: 28 3f 21 5c 64 29 5c 77 2b 28 3f 3d 5c 73 2a 3d (?!\d)\w+(?=\s*=
000109e0: 5c 73 2a 28 3f 3a 28 3f 3a 65 78 74 65 72 6e 7c \s*(?:(?:extern|
000109f0: 70 61 63 6b 65 64 29 5c 73 2b 29 3f 28 3f 3a 65 packed)\s+)?(?:e
00010a00: 6e 75 6d 7c 73 74 72 75 63 74 7c 75 6e 69 6f 6e num|struct|union
00010a10: 29 5c 73 2a 5b 28 7b 5d 29 2f 2c 7b 70 61 74 74 )\s*[({])/,{patt
00010a20: 65 72 6e 3a 52 65 67 45 78 70 28 2f 28 3a 5c 73 ern:RegExp(/(:\s
00010a30: 2a 29 3c 54 59 50 45 3e 28 3f 3d 5c 73 2a 28 3f *)<TYPE>(?=\s*(?
00010a40: 3a 3c 41 4c 49 47 4e 3e 5c 73 2a 29 3f 5b 3d 3b :<ALIGN>\s*)?[=;
00010a50: 2c 29 5d 29 7c 3c 54 59 50 45 3e 28 3f 3d 5c 73 ,)])|<TYPE>(?=\s
00010a60: 2a 28 3f 3a 3c 41 4c 49 47 4e 3e 5c 73 2a 29 3f *(?:<ALIGN>\s*)?
00010a70: 5c 7b 29 2f 2e 73 6f 75 72 63 65 2e 72 65 70 6c \{)/.source.repl
00010a80: 61 63 65 28 2f 3c 54 59 50 45 3e 2f 67 2c 74 28 ace(/<TYPE>/g,t(
00010a90: 69 29 29 2e 72 65 70 6c 61 63 65 28 2f 3c 41 4c i)).replace(/<AL
00010aa0: 49 47 4e 3e 2f 67 2c 74 28 6f 29 29 29 2c 6c 6f IGN>/g,t(o))),lo
00010ab0: 6f 6b 62 65 68 69 6e 64 3a 21 30 2c 69 6e 73 69 okbehind:!0,insi
00010ac0: 64 65 3a 6e 75 6c 6c 7d 2c 7b 70 61 74 74 65 72 de:null},{patter
00010ad0: 6e 3a 52 65 67 45 78 70 28 2f 28 5c 29 5c 73 2a n:RegExp(/(\)\s*
00010ae0: 29 3c 54 59 50 45 3e 28 3f 3d 5c 73 2a 28 3f 3a )<TYPE>(?=\s*(?:
00010af0: 3c 41 4c 49 47 4e 3e 5c 73 2a 29 3f 3b 29 2f 2e <ALIGN>\s*)?;)/.
00010b00: 73 6f 75 72 63 65 2e 72 65 70 6c 61 63 65 28 2f source.replace(/
00010b10: 3c 54 59 50 45 3e 2f 67 2c 74 28 69 29 29 2e 72 <TYPE>/g,t(i)).r
00010b20: 65 70 6c 61 63 65 28 2f 3c 41 4c 49 47 4e 3e 2f eplace(/<ALIGN>/
00010b30: 67 2c 74 28 6f 29 29 29 2c 6c 6f 6f 6b 62 65 68 g,t(o))),lookbeh
00010b40: 69 6e 64 3a 21 30 2c 69 6e 73 69 64 65 3a 6e 75 ind:!0,inside:nu
00010b50: 6c 6c 7d 5d 2c 22 62 75 69 6c 74 69 6e 2d 74 79 ll}],"builtin-ty
00010b60: 70 65 22 3a 7b 70 61 74 74 65 72 6e 3a 2f 5c 62 pe":{pattern:/\b
00010b70: 28 3f 3a 61 6e 79 65 72 72 6f 72 7c 62 6f 6f 6c (?:anyerror|bool
00010b80: 7c 63 5f 75 3f 28 3f 3a 69 6e 74 7c 6c 6f 6e 67 |c_u?(?:int|long
00010b90: 7c 6c 6f 6e 67 6c 6f 6e 67 7c 73 68 6f 72 74 29 |longlong|short)
00010ba0: 7c 63 5f 6c 6f 6e 67 64 6f 75 62 6c 65 7c 63 5f |c_longdouble|c_
00010bb0: 76 6f 69 64 7c 63 6f 6d 70 74 69 6d 65 5f 28 3f void|comptime_(?
00010bc0: 3a 66 6c 6f 61 74 7c 69 6e 74 29 7c 66 28 3f 3a :float|int)|f(?:
00010bd0: 31 36 7c 33 32 7c 36 34 7c 31 32 38 29 7c 5b 69 16|32|64|128)|[i
00010be0: 75 5d 28 3f 3a 38 7c 31 36 7c 33 32 7c 36 34 7c u](?:8|16|32|64|
00010bf0: 31 32 38 7c 73 69 7a 65 29 7c 6e 6f 72 65 74 75 128|size)|noretu
00010c00: 72 6e 7c 74 79 70 65 7c 76 6f 69 64 29 5c 62 2f rn|type|void)\b/
00010c10: 2c 61 6c 69 61 73 3a 22 6b 65 79 77 6f 72 64 22 ,alias:"keyword"
00010c20: 7d 2c 6b 65 79 77 6f 72 64 3a 6e 2c 66 75 6e 63 },keyword:n,func
00010c30: 74 69 6f 6e 3a 2f 5c 62 28 3f 21 5c 64 29 5c 77 tion:/\b(?!\d)\w
00010c40: 2b 28 3f 3d 5c 73 2a 5c 28 29 2f 2c 6e 75 6d 62 +(?=\s*\()/,numb
00010c50: 65 72 3a 2f 5c 62 28 3f 3a 30 62 5b 30 31 5d 2b er:/\b(?:0b[01]+
00010c60: 7c 30 6f 5b 30 2d 37 5d 2b 7c 30 78 5b 61 2d 66 |0o[0-7]+|0x[a-f
00010c70: 41 2d 46 5c 64 5d 2b 28 3f 3a 5c 2e 5b 61 2d 66 A-F\d]+(?:\.[a-f
00010c80: 41 2d 46 5c 64 5d 2a 29 3f 28 3f 3a 5b 70 50 5d A-F\d]*)?(?:[pP]
00010c90: 5b 2b 2d 5d 3f 5b 61 2d 66 41 2d 46 5c 64 5d 2b [+-]?[a-fA-F\d]+
00010ca0: 29 3f 7c 5c 64 2b 28 3f 3a 5c 2e 5c 64 2a 29 3f )?|\d+(?:\.\d*)?
00010cb0: 28 3f 3a 5b 65 45 5d 5b 2b 2d 5d 3f 5c 64 2b 29 (?:[eE][+-]?\d+)
00010cc0: 3f 29 5c 62 2f 2c 62 6f 6f 6c 65 61 6e 3a 2f 5c ?)\b/,boolean:/\
00010cd0: 62 28 3f 3a 66 61 6c 73 65 7c 74 72 75 65 29 5c b(?:false|true)\
00010ce0: 62 2f 2c 6f 70 65 72 61 74 6f 72 3a 2f 5c 2e 5b b/,operator:/\.[
00010cf0: 2a 3f 5d 7c 5c 2e 7b 32 2c 33 7d 7c 5b 2d 3d 5d *?]|\.{2,3}|[-=]
00010d00: 3e 7c 5c 2a 5c 2a 7c 5c 2b 5c 2b 7c 5c 7c 5c 7c >|\*\*|\+\+|\|\|
00010d10: 7c 28 3f 3a 3c 3c 7c 3e 3e 7c 5b 2d 2b 2a 5d 25 |(?:<<|>>|[-+*]%
00010d20: 7c 5b 2d 2b 2a 2f 25 5e 26 7c 3c 3e 21 3d 5d 29 |[-+*/%^&|<>!=])
00010d30: 3d 3f 7c 5b 3f 7e 5d 2f 2c 70 75 6e 63 74 75 61 =?|[?~]/,punctua
00010d40: 74 69 6f 6e 3a 2f 5b 2e 3a 2c 3b 28 29 7b 7d 5b tion:/[.:,;(){}[
00010d50: 5c 5d 5d 2f 7d 2c 65 2e 6c 61 6e 67 75 61 67 65 \]]/},e.language
00010d60: 73 2e 7a 69 67 5b 22 63 6c 61 73 73 2d 6e 61 6d s.zig["class-nam
00010d70: 65 22 5d 2e 66 6f 72 45 61 63 68 28 28 66 75 6e e"].forEach((fun
00010d80: 63 74 69 6f 6e 28 74 29 7b 6e 75 6c 6c 3d 3d 3d ction(t){null===
00010d90: 74 2e 69 6e 73 69 64 65 26 26 28 74 2e 69 6e 73 t.inside&&(t.ins
00010da0: 69 64 65 3d 65 2e 6c 61 6e 67 75 61 67 65 73 2e ide=e.languages.
00010db0: 7a 69 67 29 7d 29 29 7d 28 65 29 7d 65 2e 65 78 zig)}))}(e)}e.ex
00010dc0: 70 6f 72 74 73 3d 74 2c 74 2e 64 69 73 70 6c 61 ports=t,t.displa
00010dd0: 79 4e 61 6d 65 3d 22 7a 69 67 22 2c 74 2e 61 6c yName="zig",t.al
00010de0: 69 61 73 65 73 3d 5b 5d 7d 2c 37 36 36 30 32 3a iases=[]},76602:
00010df0: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b function(e,t,n){
00010e00: 76 61 72 20 72 3d 66 75 6e 63 74 69 6f 6e 28 65 var r=function(e
00010e10: 29 7b 76 61 72 20 74 3d 2f 28 3f 3a 5e 7c 5c 73 ){var t=/(?:^|\s
00010e20: 29 6c 61 6e 67 28 3f 3a 75 61 67 65 29 3f 2d 28 )lang(?:uage)?-(
00010e30: 5b 5c 77 2d 5d 2b 29 28 3f 3d 5c 73 7c 24 29 2f [\w-]+)(?=\s|$)/
00010e40: 69 2c 6e 3d 30 2c 72 3d 7b 7d 2c 6f 3d 7b 6d 61 i,n=0,r={},o={ma
00010e50: 6e 75 61 6c 3a 65 2e 50 72 69 73 6d 26 26 65 2e nual:e.Prism&&e.
00010e60: 50 72 69 73 6d 2e 6d 61 6e 75 61 6c 2c 64 69 73 Prism.manual,dis
00010e70: 61 62 6c 65 57 6f 72 6b 65 72 4d 65 73 73 61 67 ableWorkerMessag
00010e80: 65 48 61 6e 64 6c 65 72 3a 65 2e 50 72 69 73 6d eHandler:e.Prism
00010e90: 26 26 65 2e 50 72 69 73 6d 2e 64 69 73 61 62 6c &&e.Prism.disabl
00010ea0: 65 57 6f 72 6b 65 72 4d 65 73 73 61 67 65 48 61 eWorkerMessageHa
00010eb0: 6e 64 6c 65 72 2c 75 74 69 6c 3a 7b 65 6e 63 6f ndler,util:{enco
00010ec0: 64 65 3a 66 75 6e 63 74 69 6f 6e 20 65 28 74 29 de:function e(t)
00010ed0: 7b 72 65 74 75 72 6e 20 74 20 69 6e 73 74 61 6e {return t instan
00010ee0: 63 65 6f 66 20 69 3f 6e 65 77 20 69 28 74 2e 74 ceof i?new i(t.t
00010ef0: 79 70 65 2c 65 28 74 2e 63 6f 6e 74 65 6e 74 29 ype,e(t.content)
00010f00: 2c 74 2e 61 6c 69 61 73 29 3a 41 72 72 61 79 2e ,t.alias):Array.
00010f10: 69 73 41 72 72 61 79 28 74 29 3f 74 2e 6d 61 70 isArray(t)?t.map
00010f20: 28 65 29 3a 74 2e 72 65 70 6c 61 63 65 28 2f 26 (e):t.replace(/&
00010f30: 2f 67 2c 22 26 61 6d 70 3b 22 29 2e 72 65 70 6c /g,"&").repl
00010f40: 61 63 65 28 2f 3c 2f 67 2c 22 26 6c 74 3b 22 29 ace(/</g,"<")
00010f50: 2e 72 65 70 6c 61 63 65 28 2f 5c 75 30 30 61 30 .replace(/\u00a0
00010f60: 2f 67 2c 22 20 22 29 7d 2c 74 79 70 65 3a 66 75 /g," ")},type:fu
00010f70: 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e nction(e){return
00010f80: 20 4f 62 6a 65 63 74 2e 70 72 6f 74 6f 74 79 70 Object.prototyp
00010f90: 65 2e 74 6f 53 74 72 69 6e 67 2e 63 61 6c 6c 28 e.toString.call(
00010fa0: 65 29 2e 73 6c 69 63 65 28 38 2c 2d 31 29 7d 2c e).slice(8,-1)},
00010fb0: 6f 62 6a 49 64 3a 66 75 6e 63 74 69 6f 6e 28 65 objId:function(e
00010fc0: 29 7b 72 65 74 75 72 6e 20 65 2e 5f 5f 69 64 7c ){return e.__id|
00010fd0: 7c 4f 62 6a 65 63 74 2e 64 65 66 69 6e 65 50 72 |Object.definePr
00010fe0: 6f 70 65 72 74 79 28 65 2c 22 5f 5f 69 64 22 2c operty(e,"__id",
00010ff0: 7b 76 61 6c 75 65 3a 2b 2b 6e 7d 29 2c 65 2e 5f {value:++n}),e._
00011000: 5f 69 64 7d 2c 63 6c 6f 6e 65 3a 66 75 6e 63 74 _id},clone:funct
00011010: 69 6f 6e 20 65 28 74 2c 6e 29 7b 76 61 72 20 72 ion e(t,n){var r
00011020: 2c 69 3b 73 77 69 74 63 68 28 6e 3d 6e 7c 7c 7b ,i;switch(n=n||{
00011030: 7d 2c 6f 2e 75 74 69 6c 2e 74 79 70 65 28 74 29 },o.util.type(t)
00011040: 29 7b 63 61 73 65 22 4f 62 6a 65 63 74 22 3a 69 ){case"Object":i
00011050: 66 28 69 3d 6f 2e 75 74 69 6c 2e 6f 62 6a 49 64 f(i=o.util.objId
00011060: 28 74 29 2c 6e 5b 69 5d 29 72 65 74 75 72 6e 20 (t),n[i])return
00011070: 6e 5b 69 5d 3b 66 6f 72 28 76 61 72 20 61 20 69 n[i];for(var a i
00011080: 6e 20 72 3d 7b 7d 2c 6e 5b 69 5d 3d 72 2c 74 29 n r={},n[i]=r,t)
00011090: 74 2e 68 61 73 4f 77 6e 50 72 6f 70 65 72 74 79 t.hasOwnProperty
000110a0: 28 61 29 26 26 28 72 5b 61 5d 3d 65 28 74 5b 61 (a)&&(r[a]=e(t[a
000110b0: 5d 2c 6e 29 29 3b 72 65 74 75 72 6e 20 72 3b 63 ],n));return r;c
000110c0: 61 73 65 22 41 72 72 61 79 22 3a 72 65 74 75 72 ase"Array":retur
000110d0: 6e 20 69 3d 6f 2e 75 74 69 6c 2e 6f 62 6a 49 64 n i=o.util.objId
000110e0: 28 74 29 2c 6e 5b 69 5d 3f 6e 5b 69 5d 3a 28 72 (t),n[i]?n[i]:(r
000110f0: 3d 5b 5d 2c 6e 5b 69 5d 3d 72 2c 74 2e 66 6f 72 =[],n[i]=r,t.for
00011100: 45 61 63 68 28 28 66 75 6e 63 74 69 6f 6e 28 74 Each((function(t
00011110: 2c 6f 29 7b 72 5b 6f 5d 3d 65 28 74 2c 6e 29 7d ,o){r[o]=e(t,n)}
00011120: 29 29 2c 72 29 3b 64 65 66 61 75 6c 74 3a 72 65 )),r);default:re
00011130: 74 75 72 6e 20 74 7d 7d 2c 67 65 74 4c 61 6e 67 turn t}},getLang
00011140: 75 61 67 65 3a 66 75 6e 63 74 69 6f 6e 28 65 29 uage:function(e)
00011150: 7b 66 6f 72 28 3b 65 3b 29 7b 76 61 72 20 6e 3d {for(;e;){var n=
00011160: 74 2e 65 78 65 63 28 65 2e 63 6c 61 73 73 4e 61 t.exec(e.classNa
00011170: 6d 65 29 3b 69 66 28 6e 29 72 65 74 75 72 6e 20 me);if(n)return
00011180: 6e 5b 31 5d 2e 74 6f 4c 6f 77 65 72 43 61 73 65 n[1].toLowerCase
00011190: 28 29 3b 65 3d 65 2e 70 61 72 65 6e 74 45 6c 65 ();e=e.parentEle
000111a0: 6d 65 6e 74 7d 72 65 74 75 72 6e 22 6e 6f 6e 65 ment}return"none
000111b0: 22 7d 2c 73 65 74 4c 61 6e 67 75 61 67 65 3a 66 "},setLanguage:f
000111c0: 75 6e 63 74 69 6f 6e 28 65 2c 6e 29 7b 65 2e 63 unction(e,n){e.c
000111d0: 6c 61 73 73 4e 61 6d 65 3d 65 2e 63 6c 61 73 73 lassName=e.class
000111e0: 4e 61 6d 65 2e 72 65 70 6c 61 63 65 28 52 65 67 Name.replace(Reg
000111f0: 45 78 70 28 74 2c 22 67 69 22 29 2c 22 22 29 2c Exp(t,"gi"),""),
00011200: 65 2e 63 6c 61 73 73 4c 69 73 74 2e 61 64 64 28 e.classList.add(
00011210: 22 6c 61 6e 67 75 61 67 65 2d 22 2b 6e 29 7d 2c "language-"+n)},
00011220: 63 75 72 72 65 6e 74 53 63 72 69 70 74 3a 66 75 currentScript:fu
00011230: 6e 63 74 69 6f 6e 28 29 7b 69 66 28 22 75 6e 64 nction(){if("und
00011240: 65 66 69 6e 65 64 22 3d 3d 74 79 70 65 6f 66 20 efined"==typeof
00011250: 64 6f 63 75 6d 65 6e 74 29 72 65 74 75 72 6e 20 document)return
00011260: 6e 75 6c 6c 3b 69 66 28 22 63 75 72 72 65 6e 74 null;if("current
00011270: 53 63 72 69 70 74 22 69 6e 20 64 6f 63 75 6d 65 Script"in docume
00011280: 6e 74 29 72 65 74 75 72 6e 20 64 6f 63 75 6d 65 nt)return docume
00011290: 6e 74 2e 63 75 72 72 65 6e 74 53 63 72 69 70 74 nt.currentScript
000112a0: 3b 74 72 79 7b 74 68 72 6f 77 20 6e 65 77 20 45 ;try{throw new E
000112b0: 72 72 6f 72 7d 63 61 74 63 68 28 72 29 7b 76 61 rror}catch(r){va
000112c0: 72 20 65 3d 28 2f 61 74 20 5b 5e 28 5c 72 5c 6e r e=(/at [^(\r\n
000112d0: 5d 2a 5c 28 28 2e 2a 29 3a 5b 5e 3a 5d 2b 3a 5b ]*\((.*):[^:]+:[
000112e0: 5e 3a 5d 2b 5c 29 24 2f 69 2e 65 78 65 63 28 72 ^:]+\)$/i.exec(r
000112f0: 2e 73 74 61 63 6b 29 7c 7c 5b 5d 29 5b 31 5d 3b .stack)||[])[1];
00011300: 69 66 28 65 29 7b 76 61 72 20 74 3d 64 6f 63 75 if(e){var t=docu
00011310: 6d 65 6e 74 2e 67 65 74 45 6c 65 6d 65 6e 74 73 ment.getElements
00011320: 42 79 54 61 67 4e 61 6d 65 28 22 73 63 72 69 70 ByTagName("scrip
00011330: 74 22 29 3b 66 6f 72 28 76 61 72 20 6e 20 69 6e t");for(var n in
00011340: 20 74 29 69 66 28 74 5b 6e 5d 2e 73 72 63 3d 3d t)if(t[n].src==
00011350: 65 29 72 65 74 75 72 6e 20 74 5b 6e 5d 7d 72 65 e)return t[n]}re
00011360: 74 75 72 6e 20 6e 75 6c 6c 7d 7d 2c 69 73 41 63 turn null}},isAc
00011370: 74 69 76 65 3a 66 75 6e 63 74 69 6f 6e 28 65 2c tive:function(e,
00011380: 74 2c 6e 29 7b 66 6f 72 28 76 61 72 20 72 3d 22 t,n){for(var r="
00011390: 6e 6f 2d 22 2b 74 3b 65 3b 29 7b 76 61 72 20 6f no-"+t;e;){var o
000113a0: 3d 65 2e 63 6c 61 73 73 4c 69 73 74 3b 69 66 28 =e.classList;if(
000113b0: 6f 2e 63 6f 6e 74 61 69 6e 73 28 74 29 29 72 65 o.contains(t))re
000113c0: 74 75 72 6e 21 30 3b 69 66 28 6f 2e 63 6f 6e 74 turn!0;if(o.cont
000113d0: 61 69 6e 73 28 72 29 29 72 65 74 75 72 6e 21 31 ains(r))return!1
000113e0: 3b 65 3d 65 2e 70 61 72 65 6e 74 45 6c 65 6d 65 ;e=e.parentEleme
000113f0: 6e 74 7d 72 65 74 75 72 6e 21 21 6e 7d 7d 2c 6c nt}return!!n}},l
00011400: 61 6e 67 75 61 67 65 73 3a 7b 70 6c 61 69 6e 3a anguages:{plain:
00011410: 72 2c 70 6c 61 69 6e 74 65 78 74 3a 72 2c 74 65 r,plaintext:r,te
00011420: 78 74 3a 72 2c 74 78 74 3a 72 2c 65 78 74 65 6e xt:r,txt:r,exten
00011430: 64 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b d:function(e,t){
00011440: 76 61 72 20 6e 3d 6f 2e 75 74 69 6c 2e 63 6c 6f var n=o.util.clo
00011450: 6e 65 28 6f 2e 6c 61 6e 67 75 61 67 65 73 5b 65 ne(o.languages[e
00011460: 5d 29 3b 66 6f 72 28 76 61 72 20 72 20 69 6e 20 ]);for(var r in
00011470: 74 29 6e 5b 72 5d 3d 74 5b 72 5d 3b 72 65 74 75 t)n[r]=t[r];retu
00011480: 72 6e 20 6e 7d 2c 69 6e 73 65 72 74 42 65 66 6f rn n},insertBefo
00011490: 72 65 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c re:function(e,t,
000114a0: 6e 2c 72 29 7b 76 61 72 20 69 3d 28 72 3d 72 7c n,r){var i=(r=r|
000114b0: 7c 6f 2e 6c 61 6e 67 75 61 67 65 73 29 5b 65 5d |o.languages)[e]
000114c0: 2c 61 3d 7b 7d 3b 66 6f 72 28 76 61 72 20 73 20 ,a={};for(var s
000114d0: 69 6e 20 69 29 69 66 28 69 2e 68 61 73 4f 77 6e in i)if(i.hasOwn
000114e0: 50 72 6f 70 65 72 74 79 28 73 29 29 7b 69 66 28 Property(s)){if(
000114f0: 73 3d 3d 74 29 66 6f 72 28 76 61 72 20 6c 20 69 s==t)for(var l i
00011500: 6e 20 6e 29 6e 2e 68 61 73 4f 77 6e 50 72 6f 70 n n)n.hasOwnProp
00011510: 65 72 74 79 28 6c 29 26 26 28 61 5b 6c 5d 3d 6e erty(l)&&(a[l]=n
00011520: 5b 6c 5d 29 3b 6e 2e 68 61 73 4f 77 6e 50 72 6f [l]);n.hasOwnPro
00011530: 70 65 72 74 79 28 73 29 7c 7c 28 61 5b 73 5d 3d perty(s)||(a[s]=
00011540: 69 5b 73 5d 29 7d 76 61 72 20 63 3d 72 5b 65 5d i[s])}var c=r[e]
00011550: 3b 72 65 74 75 72 6e 20 72 5b 65 5d 3d 61 2c 6f ;return r[e]=a,o
00011560: 2e 6c 61 6e 67 75 61 67 65 73 2e 44 46 53 28 6f .languages.DFS(o
00011570: 2e 6c 61 6e 67 75 61 67 65 73 2c 28 66 75 6e 63 .languages,(func
00011580: 74 69 6f 6e 28 74 2c 6e 29 7b 6e 3d 3d 3d 63 26 tion(t,n){n===c&
00011590: 26 74 21 3d 65 26 26 28 74 68 69 73 5b 74 5d 3d &t!=e&&(this[t]=
000115a0: 61 29 7d 29 29 2c 61 7d 2c 44 46 53 3a 66 75 6e a)})),a},DFS:fun
000115b0: 63 74 69 6f 6e 20 65 28 74 2c 6e 2c 72 2c 69 29 ction e(t,n,r,i)
000115c0: 7b 69 3d 69 7c 7c 7b 7d 3b 76 61 72 20 61 3d 6f {i=i||{};var a=o
000115d0: 2e 75 74 69 6c 2e 6f 62 6a 49 64 3b 66 6f 72 28 .util.objId;for(
000115e0: 76 61 72 20 73 20 69 6e 20 74 29 69 66 28 74 2e var s in t)if(t.
000115f0: 68 61 73 4f 77 6e 50 72 6f 70 65 72 74 79 28 73 hasOwnProperty(s
00011600: 29 29 7b 6e 2e 63 61 6c 6c 28 74 2c 73 2c 74 5b )){n.call(t,s,t[
00011610: 73 5d 2c 72 7c 7c 73 29 3b 76 61 72 20 6c 3d 74 s],r||s);var l=t
00011620: 5b 73 5d 2c 63 3d 6f 2e 75 74 69 6c 2e 74 79 70 [s],c=o.util.typ
00011630: 65 28 6c 29 3b 22 4f 62 6a 65 63 74 22 21 3d 3d e(l);"Object"!==
00011640: 63 7c 7c 69 5b 61 28 6c 29 5d 3f 22 41 72 72 61 c||i[a(l)]?"Arra
00011650: 79 22 21 3d 3d 63 7c 7c 69 5b 61 28 6c 29 5d 7c y"!==c||i[a(l)]|
00011660: 7c 28 69 5b 61 28 6c 29 5d 3d 21 30 2c 65 28 6c |(i[a(l)]=!0,e(l
00011670: 2c 6e 2c 73 2c 69 29 29 3a 28 69 5b 61 28 6c 29 ,n,s,i)):(i[a(l)
00011680: 5d 3d 21 30 2c 65 28 6c 2c 6e 2c 6e 75 6c 6c 2c ]=!0,e(l,n,null,
00011690: 69 29 29 7d 7d 7d 2c 70 6c 75 67 69 6e 73 3a 7b i))}}},plugins:{
000116a0: 7d 2c 68 69 67 68 6c 69 67 68 74 41 6c 6c 3a 66 },highlightAll:f
000116b0: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 6f 2e 68 unction(e,t){o.h
000116c0: 69 67 68 6c 69 67 68 74 41 6c 6c 55 6e 64 65 72 ighlightAllUnder
000116d0: 28 64 6f 63 75 6d 65 6e 74 2c 65 2c 74 29 7d 2c (document,e,t)},
000116e0: 68 69 67 68 6c 69 67 68 74 41 6c 6c 55 6e 64 65 highlightAllUnde
000116f0: 72 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e r:function(e,t,n
00011700: 29 7b 76 61 72 20 72 3d 7b 63 61 6c 6c 62 61 63 ){var r={callbac
00011710: 6b 3a 6e 2c 63 6f 6e 74 61 69 6e 65 72 3a 65 2c k:n,container:e,
00011720: 73 65 6c 65 63 74 6f 72 3a 27 63 6f 64 65 5b 63 selector:'code[c
00011730: 6c 61 73 73 2a 3d 22 6c 61 6e 67 75 61 67 65 2d lass*="language-
00011740: 22 5d 2c 20 5b 63 6c 61 73 73 2a 3d 22 6c 61 6e "], [class*="lan
00011750: 67 75 61 67 65 2d 22 5d 20 63 6f 64 65 2c 20 63 guage-"] code, c
00011760: 6f 64 65 5b 63 6c 61 73 73 2a 3d 22 6c 61 6e 67 ode[class*="lang
00011770: 2d 22 5d 2c 20 5b 63 6c 61 73 73 2a 3d 22 6c 61 -"], [class*="la
00011780: 6e 67 2d 22 5d 20 63 6f 64 65 27 7d 3b 6f 2e 68 ng-"] code'};o.h
00011790: 6f 6f 6b 73 2e 72 75 6e 28 22 62 65 66 6f 72 65 ooks.run("before
000117a0: 2d 68 69 67 68 6c 69 67 68 74 61 6c 6c 22 2c 72 -highlightall",r
000117b0: 29 2c 72 2e 65 6c 65 6d 65 6e 74 73 3d 41 72 72 ),r.elements=Arr
000117c0: 61 79 2e 70 72 6f 74 6f 74 79 70 65 2e 73 6c 69 ay.prototype.sli
000117d0: 63 65 2e 61 70 70 6c 79 28 72 2e 63 6f 6e 74 61 ce.apply(r.conta
000117e0: 69 6e 65 72 2e 71 75 65 72 79 53 65 6c 65 63 74 iner.querySelect
000117f0: 6f 72 41 6c 6c 28 72 2e 73 65 6c 65 63 74 6f 72 orAll(r.selector
00011800: 29 29 2c 6f 2e 68 6f 6f 6b 73 2e 72 75 6e 28 22 )),o.hooks.run("
00011810: 62 65 66 6f 72 65 2d 61 6c 6c 2d 65 6c 65 6d 65 before-all-eleme
00011820: 6e 74 73 2d 68 69 67 68 6c 69 67 68 74 22 2c 72 nts-highlight",r
00011830: 29 3b 66 6f 72 28 76 61 72 20 69 2c 61 3d 30 3b );for(var i,a=0;
00011840: 69 3d 72 2e 65 6c 65 6d 65 6e 74 73 5b 61 2b 2b i=r.elements[a++
00011850: 5d 3b 29 6f 2e 68 69 67 68 6c 69 67 68 74 45 6c ];)o.highlightEl
00011860: 65 6d 65 6e 74 28 69 2c 21 30 3d 3d 3d 74 2c 72 ement(i,!0===t,r
00011870: 2e 63 61 6c 6c 62 61 63 6b 29 7d 2c 68 69 67 68 .callback)},high
00011880: 6c 69 67 68 74 45 6c 65 6d 65 6e 74 3a 66 75 6e lightElement:fun
00011890: 63 74 69 6f 6e 28 74 2c 6e 2c 72 29 7b 76 61 72 ction(t,n,r){var
000118a0: 20 69 3d 6f 2e 75 74 69 6c 2e 67 65 74 4c 61 6e i=o.util.getLan
000118b0: 67 75 61 67 65 28 74 29 2c 61 3d 6f 2e 6c 61 6e guage(t),a=o.lan
000118c0: 67 75 61 67 65 73 5b 69 5d 3b 6f 2e 75 74 69 6c guages[i];o.util
000118d0: 2e 73 65 74 4c 61 6e 67 75 61 67 65 28 74 2c 69 .setLanguage(t,i
000118e0: 29 3b 76 61 72 20 73 3d 74 2e 70 61 72 65 6e 74 );var s=t.parent
000118f0: 45 6c 65 6d 65 6e 74 3b 73 26 26 22 70 72 65 22 Element;s&&"pre"
00011900: 3d 3d 3d 73 2e 6e 6f 64 65 4e 61 6d 65 2e 74 6f ===s.nodeName.to
00011910: 4c 6f 77 65 72 43 61 73 65 28 29 26 26 6f 2e 75 LowerCase()&&o.u
00011920: 74 69 6c 2e 73 65 74 4c 61 6e 67 75 61 67 65 28 til.setLanguage(
00011930: 73 2c 69 29 3b 76 61 72 20 6c 3d 7b 65 6c 65 6d s,i);var l={elem
00011940: 65 6e 74 3a 74 2c 6c 61 6e 67 75 61 67 65 3a 69 ent:t,language:i
00011950: 2c 67 72 61 6d 6d 61 72 3a 61 2c 63 6f 64 65 3a ,grammar:a,code:
00011960: 74 2e 74 65 78 74 43 6f 6e 74 65 6e 74 7d 3b 66 t.textContent};f
00011970: 75 6e 63 74 69 6f 6e 20 63 28 65 29 7b 6c 2e 68 unction c(e){l.h
00011980: 69 67 68 6c 69 67 68 74 65 64 43 6f 64 65 3d 65 ighlightedCode=e
00011990: 2c 6f 2e 68 6f 6f 6b 73 2e 72 75 6e 28 22 62 65 ,o.hooks.run("be
000119a0: 66 6f 72 65 2d 69 6e 73 65 72 74 22 2c 6c 29 2c fore-insert",l),
000119b0: 6c 2e 65 6c 65 6d 65 6e 74 2e 69 6e 6e 65 72 48 l.element.innerH
000119c0: 54 4d 4c 3d 6c 2e 68 69 67 68 6c 69 67 68 74 65 TML=l.highlighte
000119d0: 64 43 6f 64 65 2c 6f 2e 68 6f 6f 6b 73 2e 72 75 dCode,o.hooks.ru
000119e0: 6e 28 22 61 66 74 65 72 2d 68 69 67 68 6c 69 67 n("after-highlig
000119f0: 68 74 22 2c 6c 29 2c 6f 2e 68 6f 6f 6b 73 2e 72 ht",l),o.hooks.r
00011a00: 75 6e 28 22 63 6f 6d 70 6c 65 74 65 22 2c 6c 29 un("complete",l)
00011a10: 2c 72 26 26 72 2e 63 61 6c 6c 28 6c 2e 65 6c 65 ,r&&r.call(l.ele
00011a20: 6d 65 6e 74 29 7d 69 66 28 6f 2e 68 6f 6f 6b 73 ment)}if(o.hooks
00011a30: 2e 72 75 6e 28 22 62 65 66 6f 72 65 2d 73 61 6e .run("before-san
00011a40: 69 74 79 2d 63 68 65 63 6b 22 2c 6c 29 2c 28 73 ity-check",l),(s
00011a50: 3d 6c 2e 65 6c 65 6d 65 6e 74 2e 70 61 72 65 6e =l.element.paren
00011a60: 74 45 6c 65 6d 65 6e 74 29 26 26 22 70 72 65 22 tElement)&&"pre"
00011a70: 3d 3d 3d 73 2e 6e 6f 64 65 4e 61 6d 65 2e 74 6f ===s.nodeName.to
00011a80: 4c 6f 77 65 72 43 61 73 65 28 29 26 26 21 73 2e LowerCase()&&!s.
00011a90: 68 61 73 41 74 74 72 69 62 75 74 65 28 22 74 61 hasAttribute("ta
00011aa0: 62 69 6e 64 65 78 22 29 26 26 73 2e 73 65 74 41 bindex")&&s.setA
00011ab0: 74 74 72 69 62 75 74 65 28 22 74 61 62 69 6e 64 ttribute("tabind
00011ac0: 65 78 22 2c 22 30 22 29 2c 21 6c 2e 63 6f 64 65 ex","0"),!l.code
00011ad0: 29 72 65 74 75 72 6e 20 6f 2e 68 6f 6f 6b 73 2e )return o.hooks.
00011ae0: 72 75 6e 28 22 63 6f 6d 70 6c 65 74 65 22 2c 6c run("complete",l
00011af0: 29 2c 76 6f 69 64 28 72 26 26 72 2e 63 61 6c 6c ),void(r&&r.call
00011b00: 28 6c 2e 65 6c 65 6d 65 6e 74 29 29 3b 69 66 28 (l.element));if(
00011b10: 6f 2e 68 6f 6f 6b 73 2e 72 75 6e 28 22 62 65 66 o.hooks.run("bef
00011b20: 6f 72 65 2d 68 69 67 68 6c 69 67 68 74 22 2c 6c ore-highlight",l
00011b30: 29 2c 6c 2e 67 72 61 6d 6d 61 72 29 69 66 28 6e ),l.grammar)if(n
00011b40: 26 26 65 2e 57 6f 72 6b 65 72 29 7b 76 61 72 20 &&e.Worker){var
00011b50: 75 3d 6e 65 77 20 57 6f 72 6b 65 72 28 6f 2e 66 u=new Worker(o.f
00011b60: 69 6c 65 6e 61 6d 65 29 3b 75 2e 6f 6e 6d 65 73 ilename);u.onmes
00011b70: 73 61 67 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 sage=function(e)
00011b80: 7b 63 28 65 2e 64 61 74 61 29 7d 2c 75 2e 70 6f {c(e.data)},u.po
00011b90: 73 74 4d 65 73 73 61 67 65 28 4a 53 4f 4e 2e 73 stMessage(JSON.s
00011ba0: 74 72 69 6e 67 69 66 79 28 7b 6c 61 6e 67 75 61 tringify({langua
00011bb0: 67 65 3a 6c 2e 6c 61 6e 67 75 61 67 65 2c 63 6f ge:l.language,co
00011bc0: 64 65 3a 6c 2e 63 6f 64 65 2c 69 6d 6d 65 64 69 de:l.code,immedi
00011bd0: 61 74 65 43 6c 6f 73 65 3a 21 30 7d 29 29 7d 65 ateClose:!0}))}e
00011be0: 6c 73 65 20 63 28 6f 2e 68 69 67 68 6c 69 67 68 lse c(o.highligh
00011bf0: 74 28 6c 2e 63 6f 64 65 2c 6c 2e 67 72 61 6d 6d t(l.code,l.gramm
00011c00: 61 72 2c 6c 2e 6c 61 6e 67 75 61 67 65 29 29 3b ar,l.language));
00011c10: 65 6c 73 65 20 63 28 6f 2e 75 74 69 6c 2e 65 6e else c(o.util.en
00011c20: 63 6f 64 65 28 6c 2e 63 6f 64 65 29 29 7d 2c 68 code(l.code))},h
00011c30: 69 67 68 6c 69 67 68 74 3a 66 75 6e 63 74 69 6f ighlight:functio
00011c40: 6e 28 65 2c 74 2c 6e 29 7b 76 61 72 20 72 3d 7b n(e,t,n){var r={
00011c50: 63 6f 64 65 3a 65 2c 67 72 61 6d 6d 61 72 3a 74 code:e,grammar:t
00011c60: 2c 6c 61 6e 67 75 61 67 65 3a 6e 7d 3b 69 66 28 ,language:n};if(
00011c70: 6f 2e 68 6f 6f 6b 73 2e 72 75 6e 28 22 62 65 66 o.hooks.run("bef
00011c80: 6f 72 65 2d 74 6f 6b 65 6e 69 7a 65 22 2c 72 29 ore-tokenize",r)
00011c90: 2c 21 72 2e 67 72 61 6d 6d 61 72 29 74 68 72 6f ,!r.grammar)thro
00011ca0: 77 20 6e 65 77 20 45 72 72 6f 72 28 27 54 68 65 w new Error('The
00011cb0: 20 6c 61 6e 67 75 61 67 65 20 22 27 2b 72 2e 6c language "'+r.l
00011cc0: 61 6e 67 75 61 67 65 2b 27 22 20 68 61 73 20 6e anguage+'" has n
00011cd0: 6f 20 67 72 61 6d 6d 61 72 2e 27 29 3b 72 65 74 o grammar.');ret
00011ce0: 75 72 6e 20 72 2e 74 6f 6b 65 6e 73 3d 6f 2e 74 urn r.tokens=o.t
00011cf0: 6f 6b 65 6e 69 7a 65 28 72 2e 63 6f 64 65 2c 72 okenize(r.code,r
00011d00: 2e 67 72 61 6d 6d 61 72 29 2c 6f 2e 68 6f 6f 6b .grammar),o.hook
00011d10: 73 2e 72 75 6e 28 22 61 66 74 65 72 2d 74 6f 6b s.run("after-tok
00011d20: 65 6e 69 7a 65 22 2c 72 29 2c 69 2e 73 74 72 69 enize",r),i.stri
00011d30: 6e 67 69 66 79 28 6f 2e 75 74 69 6c 2e 65 6e 63 ngify(o.util.enc
00011d40: 6f 64 65 28 72 2e 74 6f 6b 65 6e 73 29 2c 72 2e ode(r.tokens),r.
00011d50: 6c 61 6e 67 75 61 67 65 29 7d 2c 74 6f 6b 65 6e language)},token
00011d60: 69 7a 65 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 ize:function(e,t
00011d70: 29 7b 76 61 72 20 6e 3d 74 2e 72 65 73 74 3b 69 ){var n=t.rest;i
00011d80: 66 28 6e 29 7b 66 6f 72 28 76 61 72 20 72 20 69 f(n){for(var r i
00011d90: 6e 20 6e 29 74 5b 72 5d 3d 6e 5b 72 5d 3b 64 65 n n)t[r]=n[r];de
00011da0: 6c 65 74 65 20 74 2e 72 65 73 74 7d 76 61 72 20 lete t.rest}var
00011db0: 6f 3d 6e 65 77 20 6c 3b 72 65 74 75 72 6e 20 63 o=new l;return c
00011dc0: 28 6f 2c 6f 2e 68 65 61 64 2c 65 29 2c 73 28 65 (o,o.head,e),s(e
00011dd0: 2c 6f 2c 74 2c 6f 2e 68 65 61 64 2c 30 29 2c 66 ,o,t,o.head,0),f
00011de0: 75 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 unction(e){var t
00011df0: 3d 5b 5d 2c 6e 3d 65 2e 68 65 61 64 2e 6e 65 78 =[],n=e.head.nex
00011e00: 74 3b 66 6f 72 28 3b 6e 21 3d 3d 65 2e 74 61 69 t;for(;n!==e.tai
00011e10: 6c 3b 29 74 2e 70 75 73 68 28 6e 2e 76 61 6c 75 l;)t.push(n.valu
00011e20: 65 29 2c 6e 3d 6e 2e 6e 65 78 74 3b 72 65 74 75 e),n=n.next;retu
00011e30: 72 6e 20 74 7d 28 6f 29 7d 2c 68 6f 6f 6b 73 3a rn t}(o)},hooks:
00011e40: 7b 61 6c 6c 3a 7b 7d 2c 61 64 64 3a 66 75 6e 63 {all:{},add:func
00011e50: 74 69 6f 6e 28 65 2c 74 29 7b 76 61 72 20 6e 3d tion(e,t){var n=
00011e60: 6f 2e 68 6f 6f 6b 73 2e 61 6c 6c 3b 6e 5b 65 5d o.hooks.all;n[e]
00011e70: 3d 6e 5b 65 5d 7c 7c 5b 5d 2c 6e 5b 65 5d 2e 70 =n[e]||[],n[e].p
00011e80: 75 73 68 28 74 29 7d 2c 72 75 6e 3a 66 75 6e 63 ush(t)},run:func
00011e90: 74 69 6f 6e 28 65 2c 74 29 7b 76 61 72 20 6e 3d tion(e,t){var n=
00011ea0: 6f 2e 68 6f 6f 6b 73 2e 61 6c 6c 5b 65 5d 3b 69 o.hooks.all[e];i
00011eb0: 66 28 6e 26 26 6e 2e 6c 65 6e 67 74 68 29 66 6f f(n&&n.length)fo
00011ec0: 72 28 76 61 72 20 72 2c 69 3d 30 3b 72 3d 6e 5b r(var r,i=0;r=n[
00011ed0: 69 2b 2b 5d 3b 29 72 28 74 29 7d 7d 2c 54 6f 6b i++];)r(t)}},Tok
00011ee0: 65 6e 3a 69 7d 3b 66 75 6e 63 74 69 6f 6e 20 69 en:i};function i
00011ef0: 28 65 2c 74 2c 6e 2c 72 29 7b 74 68 69 73 2e 74 (e,t,n,r){this.t
00011f00: 79 70 65 3d 65 2c 74 68 69 73 2e 63 6f 6e 74 65 ype=e,this.conte
00011f10: 6e 74 3d 74 2c 74 68 69 73 2e 61 6c 69 61 73 3d nt=t,this.alias=
00011f20: 6e 2c 74 68 69 73 2e 6c 65 6e 67 74 68 3d 30 7c n,this.length=0|
00011f30: 28 72 7c 7c 22 22 29 2e 6c 65 6e 67 74 68 7d 66 (r||"").length}f
00011f40: 75 6e 63 74 69 6f 6e 20 61 28 65 2c 74 2c 6e 2c unction a(e,t,n,
00011f50: 72 29 7b 65 2e 6c 61 73 74 49 6e 64 65 78 3d 74 r){e.lastIndex=t
00011f60: 3b 76 61 72 20 6f 3d 65 2e 65 78 65 63 28 6e 29 ;var o=e.exec(n)
00011f70: 3b 69 66 28 6f 26 26 72 26 26 6f 5b 31 5d 29 7b ;if(o&&r&&o[1]){
00011f80: 76 61 72 20 69 3d 6f 5b 31 5d 2e 6c 65 6e 67 74 var i=o[1].lengt
00011f90: 68 3b 6f 2e 69 6e 64 65 78 2b 3d 69 2c 6f 5b 30 h;o.index+=i,o[0
00011fa0: 5d 3d 6f 5b 30 5d 2e 73 6c 69 63 65 28 69 29 7d ]=o[0].slice(i)}
00011fb0: 72 65 74 75 72 6e 20 6f 7d 66 75 6e 63 74 69 6f return o}functio
00011fc0: 6e 20 73 28 65 2c 74 2c 6e 2c 72 2c 6c 2c 64 29 n s(e,t,n,r,l,d)
00011fd0: 7b 66 6f 72 28 76 61 72 20 70 20 69 6e 20 6e 29 {for(var p in n)
00011fe0: 69 66 28 6e 2e 68 61 73 4f 77 6e 50 72 6f 70 65 if(n.hasOwnPrope
00011ff0: 72 74 79 28 70 29 26 26 6e 5b 70 5d 29 7b 76 61 rty(p)&&n[p]){va
00012000: 72 20 66 3d 6e 5b 70 5d 3b 66 3d 41 72 72 61 79 r f=n[p];f=Array
00012010: 2e 69 73 41 72 72 61 79 28 66 29 3f 66 3a 5b 66 .isArray(f)?f:[f
00012020: 5d 3b 66 6f 72 28 76 61 72 20 6d 3d 30 3b 6d 3c ];for(var m=0;m<
00012030: 66 2e 6c 65 6e 67 74 68 3b 2b 2b 6d 29 7b 69 66 f.length;++m){if
00012040: 28 64 26 26 64 2e 63 61 75 73 65 3d 3d 70 2b 22 (d&&d.cause==p+"
00012050: 2c 22 2b 6d 29 72 65 74 75 72 6e 3b 76 61 72 20 ,"+m)return;var
00012060: 68 3d 66 5b 6d 5d 2c 67 3d 68 2e 69 6e 73 69 64 h=f[m],g=h.insid
00012070: 65 2c 62 3d 21 21 68 2e 6c 6f 6f 6b 62 65 68 69 e,b=!!h.lookbehi
00012080: 6e 64 2c 76 3d 21 21 68 2e 67 72 65 65 64 79 2c nd,v=!!h.greedy,
00012090: 79 3d 68 2e 61 6c 69 61 73 3b 69 66 28 76 26 26 y=h.alias;if(v&&
000120a0: 21 68 2e 70 61 74 74 65 72 6e 2e 67 6c 6f 62 61 !h.pattern.globa
000120b0: 6c 29 7b 76 61 72 20 45 3d 68 2e 70 61 74 74 65 l){var E=h.patte
000120c0: 72 6e 2e 74 6f 53 74 72 69 6e 67 28 29 2e 6d 61 rn.toString().ma
000120d0: 74 63 68 28 2f 5b 69 6d 73 75 79 5d 2a 24 2f 29 tch(/[imsuy]*$/)
000120e0: 5b 30 5d 3b 68 2e 70 61 74 74 65 72 6e 3d 52 65 [0];h.pattern=Re
000120f0: 67 45 78 70 28 68 2e 70 61 74 74 65 72 6e 2e 73 gExp(h.pattern.s
00012100: 6f 75 72 63 65 2c 45 2b 22 67 22 29 7d 66 6f 72 ource,E+"g")}for
00012110: 28 76 61 72 20 5f 3d 68 2e 70 61 74 74 65 72 6e (var _=h.pattern
00012120: 7c 7c 68 2c 53 3d 72 2e 6e 65 78 74 2c 77 3d 6c ||h,S=r.next,w=l
00012130: 3b 53 21 3d 3d 74 2e 74 61 69 6c 26 26 21 28 64 ;S!==t.tail&&!(d
00012140: 26 26 77 3e 3d 64 2e 72 65 61 63 68 29 3b 77 2b &&w>=d.reach);w+
00012150: 3d 53 2e 76 61 6c 75 65 2e 6c 65 6e 67 74 68 2c =S.value.length,
00012160: 53 3d 53 2e 6e 65 78 74 29 7b 76 61 72 20 54 3d S=S.next){var T=
00012170: 53 2e 76 61 6c 75 65 3b 69 66 28 74 2e 6c 65 6e S.value;if(t.len
00012180: 67 74 68 3e 65 2e 6c 65 6e 67 74 68 29 72 65 74 gth>e.length)ret
00012190: 75 72 6e 3b 69 66 28 21 28 54 20 69 6e 73 74 61 urn;if(!(T insta
000121a0: 6e 63 65 6f 66 20 69 29 29 7b 76 61 72 20 78 2c nceof i)){var x,
000121b0: 41 3d 31 3b 69 66 28 76 29 7b 69 66 28 21 28 78 A=1;if(v){if(!(x
000121c0: 3d 61 28 5f 2c 77 2c 65 2c 62 29 29 7c 7c 78 2e =a(_,w,e,b))||x.
000121d0: 69 6e 64 65 78 3e 3d 65 2e 6c 65 6e 67 74 68 29 index>=e.length)
000121e0: 62 72 65 61 6b 3b 76 61 72 20 43 3d 78 2e 69 6e break;var C=x.in
000121f0: 64 65 78 2c 52 3d 78 2e 69 6e 64 65 78 2b 78 5b dex,R=x.index+x[
00012200: 30 5d 2e 6c 65 6e 67 74 68 2c 6b 3d 77 3b 66 6f 0].length,k=w;fo
00012210: 72 28 6b 2b 3d 53 2e 76 61 6c 75 65 2e 6c 65 6e r(k+=S.value.len
00012220: 67 74 68 3b 43 3e 3d 6b 3b 29 6b 2b 3d 28 53 3d gth;C>=k;)k+=(S=
00012230: 53 2e 6e 65 78 74 29 2e 76 61 6c 75 65 2e 6c 65 S.next).value.le
00012240: 6e 67 74 68 3b 69 66 28 77 3d 6b 2d 3d 53 2e 76 ngth;if(w=k-=S.v
00012250: 61 6c 75 65 2e 6c 65 6e 67 74 68 2c 53 2e 76 61 alue.length,S.va
00012260: 6c 75 65 20 69 6e 73 74 61 6e 63 65 6f 66 20 69 lue instanceof i
00012270: 29 63 6f 6e 74 69 6e 75 65 3b 66 6f 72 28 76 61 )continue;for(va
00012280: 72 20 4f 3d 53 3b 4f 21 3d 3d 74 2e 74 61 69 6c r O=S;O!==t.tail
00012290: 26 26 28 6b 3c 52 7c 7c 22 73 74 72 69 6e 67 22 &&(k<R||"string"
000122a0: 3d 3d 74 79 70 65 6f 66 20 4f 2e 76 61 6c 75 65 ==typeof O.value
000122b0: 29 3b 4f 3d 4f 2e 6e 65 78 74 29 41 2b 2b 2c 6b );O=O.next)A++,k
000122c0: 2b 3d 4f 2e 76 61 6c 75 65 2e 6c 65 6e 67 74 68 +=O.value.length
000122d0: 3b 41 2d 2d 2c 54 3d 65 2e 73 6c 69 63 65 28 77 ;A--,T=e.slice(w
000122e0: 2c 6b 29 2c 78 2e 69 6e 64 65 78 2d 3d 77 7d 65 ,k),x.index-=w}e
000122f0: 6c 73 65 20 69 66 28 21 28 78 3d 61 28 5f 2c 30 lse if(!(x=a(_,0
00012300: 2c 54 2c 62 29 29 29 63 6f 6e 74 69 6e 75 65 3b ,T,b)))continue;
00012310: 43 3d 78 2e 69 6e 64 65 78 3b 76 61 72 20 4e 3d C=x.index;var N=
00012320: 78 5b 30 5d 2c 49 3d 54 2e 73 6c 69 63 65 28 30 x[0],I=T.slice(0
00012330: 2c 43 29 2c 4d 3d 54 2e 73 6c 69 63 65 28 43 2b ,C),M=T.slice(C+
00012340: 4e 2e 6c 65 6e 67 74 68 29 2c 50 3d 77 2b 54 2e N.length),P=w+T.
00012350: 6c 65 6e 67 74 68 3b 64 26 26 50 3e 64 2e 72 65 length;d&&P>d.re
00012360: 61 63 68 26 26 28 64 2e 72 65 61 63 68 3d 50 29 ach&&(d.reach=P)
00012370: 3b 76 61 72 20 44 3d 53 2e 70 72 65 76 3b 69 66 ;var D=S.prev;if
00012380: 28 49 26 26 28 44 3d 63 28 74 2c 44 2c 49 29 2c (I&&(D=c(t,D,I),
00012390: 77 2b 3d 49 2e 6c 65 6e 67 74 68 29 2c 75 28 74 w+=I.length),u(t
000123a0: 2c 44 2c 41 29 2c 53 3d 63 28 74 2c 44 2c 6e 65 ,D,A),S=c(t,D,ne
000123b0: 77 20 69 28 70 2c 67 3f 6f 2e 74 6f 6b 65 6e 69 w i(p,g?o.tokeni
000123c0: 7a 65 28 4e 2c 67 29 3a 4e 2c 79 2c 4e 29 29 2c ze(N,g):N,y,N)),
000123d0: 4d 26 26 63 28 74 2c 53 2c 4d 29 2c 41 3e 31 29 M&&c(t,S,M),A>1)
000123e0: 7b 76 61 72 20 4c 3d 7b 63 61 75 73 65 3a 70 2b {var L={cause:p+
000123f0: 22 2c 22 2b 6d 2c 72 65 61 63 68 3a 50 7d 3b 73 ","+m,reach:P};s
00012400: 28 65 2c 74 2c 6e 2c 53 2e 70 72 65 76 2c 77 2c (e,t,n,S.prev,w,
00012410: 4c 29 2c 64 26 26 4c 2e 72 65 61 63 68 3e 64 2e L),d&&L.reach>d.
00012420: 72 65 61 63 68 26 26 28 64 2e 72 65 61 63 68 3d reach&&(d.reach=
00012430: 4c 2e 72 65 61 63 68 29 7d 7d 7d 7d 7d 7d 66 75 L.reach)}}}}}}fu
00012440: 6e 63 74 69 6f 6e 20 6c 28 29 7b 76 61 72 20 65 nction l(){var e
00012450: 3d 7b 76 61 6c 75 65 3a 6e 75 6c 6c 2c 70 72 65 ={value:null,pre
00012460: 76 3a 6e 75 6c 6c 2c 6e 65 78 74 3a 6e 75 6c 6c v:null,next:null
00012470: 7d 2c 74 3d 7b 76 61 6c 75 65 3a 6e 75 6c 6c 2c },t={value:null,
00012480: 70 72 65 76 3a 65 2c 6e 65 78 74 3a 6e 75 6c 6c prev:e,next:null
00012490: 7d 3b 65 2e 6e 65 78 74 3d 74 2c 74 68 69 73 2e };e.next=t,this.
000124a0: 68 65 61 64 3d 65 2c 74 68 69 73 2e 74 61 69 6c head=e,this.tail
000124b0: 3d 74 2c 74 68 69 73 2e 6c 65 6e 67 74 68 3d 30 =t,this.length=0
000124c0: 7d 66 75 6e 63 74 69 6f 6e 20 63 28 65 2c 74 2c }function c(e,t,
000124d0: 6e 29 7b 76 61 72 20 72 3d 74 2e 6e 65 78 74 2c n){var r=t.next,
000124e0: 6f 3d 7b 76 61 6c 75 65 3a 6e 2c 70 72 65 76 3a o={value:n,prev:
000124f0: 74 2c 6e 65 78 74 3a 72 7d 3b 72 65 74 75 72 6e t,next:r};return
00012500: 20 74 2e 6e 65 78 74 3d 6f 2c 72 2e 70 72 65 76 t.next=o,r.prev
00012510: 3d 6f 2c 65 2e 6c 65 6e 67 74 68 2b 2b 2c 6f 7d =o,e.length++,o}
00012520: 66 75 6e 63 74 69 6f 6e 20 75 28 65 2c 74 2c 6e function u(e,t,n
00012530: 29 7b 66 6f 72 28 76 61 72 20 72 3d 74 2e 6e 65 ){for(var r=t.ne
00012540: 78 74 2c 6f 3d 30 3b 6f 3c 6e 26 26 72 21 3d 3d xt,o=0;o<n&&r!==
00012550: 65 2e 74 61 69 6c 3b 6f 2b 2b 29 72 3d 72 2e 6e e.tail;o++)r=r.n
00012560: 65 78 74 3b 74 2e 6e 65 78 74 3d 72 2c 72 2e 70 ext;t.next=r,r.p
00012570: 72 65 76 3d 74 2c 65 2e 6c 65 6e 67 74 68 2d 3d rev=t,e.length-=
00012580: 6f 7d 69 66 28 65 2e 50 72 69 73 6d 3d 6f 2c 69 o}if(e.Prism=o,i
00012590: 2e 73 74 72 69 6e 67 69 66 79 3d 66 75 6e 63 74 .stringify=funct
000125a0: 69 6f 6e 20 65 28 74 2c 6e 29 7b 69 66 28 22 73 ion e(t,n){if("s
000125b0: 74 72 69 6e 67 22 3d 3d 74 79 70 65 6f 66 20 74 tring"==typeof t
000125c0: 29 72 65 74 75 72 6e 20 74 3b 69 66 28 41 72 72 )return t;if(Arr
000125d0: 61 79 2e 69 73 41 72 72 61 79 28 74 29 29 7b 76 ay.isArray(t)){v
000125e0: 61 72 20 72 3d 22 22 3b 72 65 74 75 72 6e 20 74 ar r="";return t
000125f0: 2e 66 6f 72 45 61 63 68 28 28 66 75 6e 63 74 69 .forEach((functi
00012600: 6f 6e 28 74 29 7b 72 2b 3d 65 28 74 2c 6e 29 7d on(t){r+=e(t,n)}
00012610: 29 29 2c 72 7d 76 61 72 20 69 3d 7b 74 79 70 65 )),r}var i={type
00012620: 3a 74 2e 74 79 70 65 2c 63 6f 6e 74 65 6e 74 3a :t.type,content:
00012630: 65 28 74 2e 63 6f 6e 74 65 6e 74 2c 6e 29 2c 74 e(t.content,n),t
00012640: 61 67 3a 22 73 70 61 6e 22 2c 63 6c 61 73 73 65 ag:"span",classe
00012650: 73 3a 5b 22 74 6f 6b 65 6e 22 2c 74 2e 74 79 70 s:["token",t.typ
00012660: 65 5d 2c 61 74 74 72 69 62 75 74 65 73 3a 7b 7d e],attributes:{}
00012670: 2c 6c 61 6e 67 75 61 67 65 3a 6e 7d 2c 61 3d 74 ,language:n},a=t
00012680: 2e 61 6c 69 61 73 3b 61 26 26 28 41 72 72 61 79 .alias;a&&(Array
00012690: 2e 69 73 41 72 72 61 79 28 61 29 3f 41 72 72 61 .isArray(a)?Arra
000126a0: 79 2e 70 72 6f 74 6f 74 79 70 65 2e 70 75 73 68 y.prototype.push
000126b0: 2e 61 70 70 6c 79 28 69 2e 63 6c 61 73 73 65 73 .apply(i.classes
000126c0: 2c 61 29 3a 69 2e 63 6c 61 73 73 65 73 2e 70 75 ,a):i.classes.pu
000126d0: 73 68 28 61 29 29 2c 6f 2e 68 6f 6f 6b 73 2e 72 sh(a)),o.hooks.r
000126e0: 75 6e 28 22 77 72 61 70 22 2c 69 29 3b 76 61 72 un("wrap",i);var
000126f0: 20 73 3d 22 22 3b 66 6f 72 28 76 61 72 20 6c 20 s="";for(var l
00012700: 69 6e 20 69 2e 61 74 74 72 69 62 75 74 65 73 29 in i.attributes)
00012710: 73 2b 3d 22 20 22 2b 6c 2b 27 3d 22 27 2b 28 69 s+=" "+l+'="'+(i
00012720: 2e 61 74 74 72 69 62 75 74 65 73 5b 6c 5d 7c 7c .attributes[l]||
00012730: 22 22 29 2e 72 65 70 6c 61 63 65 28 2f 22 2f 67 "").replace(/"/g
00012740: 2c 22 26 71 75 6f 74 3b 22 29 2b 27 22 27 3b 72 ,""")+'"';r
00012750: 65 74 75 72 6e 22 3c 22 2b 69 2e 74 61 67 2b 27 eturn"<"+i.tag+'
00012760: 20 63 6c 61 73 73 3d 22 27 2b 69 2e 63 6c 61 73 class="'+i.clas
00012770: 73 65 73 2e 6a 6f 69 6e 28 22 20 22 29 2b 27 22 ses.join(" ")+'"
00012780: 27 2b 73 2b 22 3e 22 2b 69 2e 63 6f 6e 74 65 6e '+s+">"+i.conten
00012790: 74 2b 22 3c 2f 22 2b 69 2e 74 61 67 2b 22 3e 22 t+"</"+i.tag+">"
000127a0: 7d 2c 21 65 2e 64 6f 63 75 6d 65 6e 74 29 72 65 },!e.document)re
000127b0: 74 75 72 6e 20 65 2e 61 64 64 45 76 65 6e 74 4c turn e.addEventL
000127c0: 69 73 74 65 6e 65 72 3f 28 6f 2e 64 69 73 61 62 istener?(o.disab
000127d0: 6c 65 57 6f 72 6b 65 72 4d 65 73 73 61 67 65 48 leWorkerMessageH
000127e0: 61 6e 64 6c 65 72 7c 7c 65 2e 61 64 64 45 76 65 andler||e.addEve
000127f0: 6e 74 4c 69 73 74 65 6e 65 72 28 22 6d 65 73 73 ntListener("mess
00012800: 61 67 65 22 2c 28 66 75 6e 63 74 69 6f 6e 28 74 age",(function(t
00012810: 29 7b 76 61 72 20 6e 3d 4a 53 4f 4e 2e 70 61 72 ){var n=JSON.par
00012820: 73 65 28 74 2e 64 61 74 61 29 2c 72 3d 6e 2e 6c se(t.data),r=n.l
00012830: 61 6e 67 75 61 67 65 2c 69 3d 6e 2e 63 6f 64 65 anguage,i=n.code
00012840: 2c 61 3d 6e 2e 69 6d 6d 65 64 69 61 74 65 43 6c ,a=n.immediateCl
00012850: 6f 73 65 3b 65 2e 70 6f 73 74 4d 65 73 73 61 67 ose;e.postMessag
00012860: 65 28 6f 2e 68 69 67 68 6c 69 67 68 74 28 69 2c e(o.highlight(i,
00012870: 6f 2e 6c 61 6e 67 75 61 67 65 73 5b 72 5d 2c 72 o.languages[r],r
00012880: 29 29 2c 61 26 26 65 2e 63 6c 6f 73 65 28 29 7d )),a&&e.close()}
00012890: 29 2c 21 31 29 2c 6f 29 3a 6f 3b 76 61 72 20 64 ),!1),o):o;var d
000128a0: 3d 6f 2e 75 74 69 6c 2e 63 75 72 72 65 6e 74 53 =o.util.currentS
000128b0: 63 72 69 70 74 28 29 3b 66 75 6e 63 74 69 6f 6e cript();function
000128c0: 20 70 28 29 7b 6f 2e 6d 61 6e 75 61 6c 7c 7c 6f p(){o.manual||o
000128d0: 2e 68 69 67 68 6c 69 67 68 74 41 6c 6c 28 29 7d .highlightAll()}
000128e0: 69 66 28 64 26 26 28 6f 2e 66 69 6c 65 6e 61 6d if(d&&(o.filenam
000128f0: 65 3d 64 2e 73 72 63 2c 64 2e 68 61 73 41 74 74 e=d.src,d.hasAtt
00012900: 72 69 62 75 74 65 28 22 64 61 74 61 2d 6d 61 6e ribute("data-man
00012910: 75 61 6c 22 29 26 26 28 6f 2e 6d 61 6e 75 61 6c ual")&&(o.manual
00012920: 3d 21 30 29 29 2c 21 6f 2e 6d 61 6e 75 61 6c 29 =!0)),!o.manual)
00012930: 7b 76 61 72 20 66 3d 64 6f 63 75 6d 65 6e 74 2e {var f=document.
00012940: 72 65 61 64 79 53 74 61 74 65 3b 22 6c 6f 61 64 readyState;"load
00012950: 69 6e 67 22 3d 3d 3d 66 7c 7c 22 69 6e 74 65 72 ing"===f||"inter
00012960: 61 63 74 69 76 65 22 3d 3d 3d 66 26 26 64 26 26 active"===f&&d&&
00012970: 64 2e 64 65 66 65 72 3f 64 6f 63 75 6d 65 6e 74 d.defer?document
00012980: 2e 61 64 64 45 76 65 6e 74 4c 69 73 74 65 6e 65 .addEventListene
00012990: 72 28 22 44 4f 4d 43 6f 6e 74 65 6e 74 4c 6f 61 r("DOMContentLoa
000129a0: 64 65 64 22 2c 70 29 3a 77 69 6e 64 6f 77 2e 72 ded",p):window.r
000129b0: 65 71 75 65 73 74 41 6e 69 6d 61 74 69 6f 6e 46 equestAnimationF
000129c0: 72 61 6d 65 3f 77 69 6e 64 6f 77 2e 72 65 71 75 rame?window.requ
000129d0: 65 73 74 41 6e 69 6d 61 74 69 6f 6e 46 72 61 6d estAnimationFram
000129e0: 65 28 70 29 3a 77 69 6e 64 6f 77 2e 73 65 74 54 e(p):window.setT
000129f0: 69 6d 65 6f 75 74 28 70 2c 31 36 29 7d 72 65 74 imeout(p,16)}ret
00012a00: 75 72 6e 20 6f 7d 28 22 75 6e 64 65 66 69 6e 65 urn o}("undefine
00012a10: 64 22 21 3d 74 79 70 65 6f 66 20 77 69 6e 64 6f d"!=typeof windo
00012a20: 77 3f 77 69 6e 64 6f 77 3a 22 75 6e 64 65 66 69 w?window:"undefi
00012a30: 6e 65 64 22 21 3d 74 79 70 65 6f 66 20 57 6f 72 ned"!=typeof Wor
00012a40: 6b 65 72 47 6c 6f 62 61 6c 53 63 6f 70 65 26 26 kerGlobalScope&&
00012a50: 73 65 6c 66 20 69 6e 73 74 61 6e 63 65 6f 66 20 self instanceof
00012a60: 57 6f 72 6b 65 72 47 6c 6f 62 61 6c 53 63 6f 70 WorkerGlobalScop
00012a70: 65 3f 73 65 6c 66 3a 7b 7d 29 3b 65 2e 65 78 70 e?self:{});e.exp
00012a80: 6f 72 74 73 26 26 28 65 2e 65 78 70 6f 72 74 73 orts&&(e.exports
00012a90: 3d 72 29 2c 76 6f 69 64 20 30 21 3d 3d 6e 2e 67 =r),void 0!==n.g
00012aa0: 26 26 28 6e 2e 67 2e 50 72 69 73 6d 3d 72 29 7d &&(n.g.Prism=r)}
00012ab0: 2c 39 36 32 37 3a 66 75 6e 63 74 69 6f 6e 28 65 ,9627:function(e
00012ac0: 2c 74 2c 6e 29 7b 22 75 73 65 20 73 74 72 69 63 ,t,n){"use stric
00012ad0: 74 22 3b 76 61 72 20 72 3d 6e 28 35 39 30 36 34 t";var r=n(59064
00012ae0: 29 2e 66 75 6e 63 74 69 6f 6e 73 48 61 76 65 43 ).functionsHaveC
00012af0: 6f 6e 66 69 67 75 72 61 62 6c 65 4e 61 6d 65 73 onfigurableNames
00012b00: 28 29 2c 6f 3d 4f 62 6a 65 63 74 2c 69 3d 54 79 (),o=Object,i=Ty
00012b10: 70 65 45 72 72 6f 72 3b 65 2e 65 78 70 6f 72 74 peError;e.export
00012b20: 73 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 69 66 28 s=function(){if(
00012b30: 6e 75 6c 6c 21 3d 74 68 69 73 26 26 74 68 69 73 null!=this&&this
00012b40: 21 3d 3d 6f 28 74 68 69 73 29 29 74 68 72 6f 77 !==o(this))throw
00012b50: 20 6e 65 77 20 69 28 22 52 65 67 45 78 70 2e 70 new i("RegExp.p
00012b60: 72 6f 74 6f 74 79 70 65 2e 66 6c 61 67 73 20 67 rototype.flags g
00012b70: 65 74 74 65 72 20 63 61 6c 6c 65 64 20 6f 6e 20 etter called on
00012b80: 6e 6f 6e 2d 6f 62 6a 65 63 74 22 29 3b 76 61 72 non-object");var
00012b90: 20 65 3d 22 22 3b 72 65 74 75 72 6e 20 74 68 69 e="";return thi
00012ba0: 73 2e 68 61 73 49 6e 64 69 63 65 73 26 26 28 65 s.hasIndices&&(e
00012bb0: 2b 3d 22 64 22 29 2c 74 68 69 73 2e 67 6c 6f 62 +="d"),this.glob
00012bc0: 61 6c 26 26 28 65 2b 3d 22 67 22 29 2c 74 68 69 al&&(e+="g"),thi
00012bd0: 73 2e 69 67 6e 6f 72 65 43 61 73 65 26 26 28 65 s.ignoreCase&&(e
00012be0: 2b 3d 22 69 22 29 2c 74 68 69 73 2e 6d 75 6c 74 +="i"),this.mult
00012bf0: 69 6c 69 6e 65 26 26 28 65 2b 3d 22 6d 22 29 2c iline&&(e+="m"),
00012c00: 74 68 69 73 2e 64 6f 74 41 6c 6c 26 26 28 65 2b this.dotAll&&(e+
00012c10: 3d 22 73 22 29 2c 74 68 69 73 2e 75 6e 69 63 6f ="s"),this.unico
00012c20: 64 65 26 26 28 65 2b 3d 22 75 22 29 2c 74 68 69 de&&(e+="u"),thi
00012c30: 73 2e 75 6e 69 63 6f 64 65 53 65 74 73 26 26 28 s.unicodeSets&&(
00012c40: 65 2b 3d 22 76 22 29 2c 74 68 69 73 2e 73 74 69 e+="v"),this.sti
00012c50: 63 6b 79 26 26 28 65 2b 3d 22 79 22 29 2c 65 7d cky&&(e+="y"),e}
00012c60: 2c 72 26 26 4f 62 6a 65 63 74 2e 64 65 66 69 6e ,r&&Object.defin
00012c70: 65 50 72 6f 70 65 72 74 79 26 26 4f 62 6a 65 63 eProperty&&Objec
00012c80: 74 2e 64 65 66 69 6e 65 50 72 6f 70 65 72 74 79 t.defineProperty
00012c90: 28 65 2e 65 78 70 6f 72 74 73 2c 22 6e 61 6d 65 (e.exports,"name
00012ca0: 22 2c 7b 76 61 6c 75 65 3a 22 67 65 74 20 66 6c ",{value:"get fl
00012cb0: 61 67 73 22 7d 29 7d 2c 35 37 33 30 3a 66 75 6e ags"})},5730:fun
00012cc0: 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 ction(e,t,n){"us
00012cd0: 65 20 73 74 72 69 63 74 22 3b 76 61 72 20 72 3d e strict";var r=
00012ce0: 6e 28 35 34 39 38 37 29 2c 6f 3d 6e 28 33 33 36 n(54987),o=n(336
00012cf0: 39 34 29 2c 69 3d 6e 28 39 36 32 37 29 2c 61 3d 94),i=n(9627),a=
00012d00: 6e 28 31 36 30 38 32 29 2c 73 3d 6e 28 34 37 35 n(16082),s=n(475
00012d10: 37 35 29 2c 6c 3d 6f 28 61 28 29 29 3b 72 28 6c 75),l=o(a());r(l
00012d20: 2c 7b 67 65 74 50 6f 6c 79 66 69 6c 6c 3a 61 2c ,{getPolyfill:a,
00012d30: 69 6d 70 6c 65 6d 65 6e 74 61 74 69 6f 6e 3a 69 implementation:i
00012d40: 2c 73 68 69 6d 3a 73 7d 29 2c 65 2e 65 78 70 6f ,shim:s}),e.expo
00012d50: 72 74 73 3d 6c 7d 2c 31 36 30 38 32 3a 66 75 6e rts=l},16082:fun
00012d60: 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 ction(e,t,n){"us
00012d70: 65 20 73 74 72 69 63 74 22 3b 76 61 72 20 72 3d e strict";var r=
00012d80: 6e 28 39 36 32 37 29 2c 6f 3d 6e 28 35 34 39 38 n(9627),o=n(5498
00012d90: 37 29 2e 73 75 70 70 6f 72 74 73 44 65 73 63 72 7).supportsDescr
00012da0: 69 70 74 6f 72 73 2c 69 3d 4f 62 6a 65 63 74 2e iptors,i=Object.
00012db0: 67 65 74 4f 77 6e 50 72 6f 70 65 72 74 79 44 65 getOwnPropertyDe
00012dc0: 73 63 72 69 70 74 6f 72 3b 65 2e 65 78 70 6f 72 scriptor;e.expor
00012dd0: 74 73 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 69 66 ts=function(){if
00012de0: 28 6f 26 26 22 67 69 6d 22 3d 3d 3d 2f 61 2f 67 (o&&"gim"===/a/g
00012df0: 69 6d 2e 66 6c 61 67 73 29 7b 76 61 72 20 65 3d im.flags){var e=
00012e00: 69 28 52 65 67 45 78 70 2e 70 72 6f 74 6f 74 79 i(RegExp.prototy
00012e10: 70 65 2c 22 66 6c 61 67 73 22 29 3b 69 66 28 65 pe,"flags");if(e
00012e20: 26 26 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 &&"function"==ty
00012e30: 70 65 6f 66 20 65 2e 67 65 74 26 26 22 62 6f 6f peof e.get&&"boo
00012e40: 6c 65 61 6e 22 3d 3d 74 79 70 65 6f 66 20 52 65 lean"==typeof Re
00012e50: 67 45 78 70 2e 70 72 6f 74 6f 74 79 70 65 2e 64 gExp.prototype.d
00012e60: 6f 74 41 6c 6c 26 26 22 62 6f 6f 6c 65 61 6e 22 otAll&&"boolean"
00012e70: 3d 3d 74 79 70 65 6f 66 20 52 65 67 45 78 70 2e ==typeof RegExp.
00012e80: 70 72 6f 74 6f 74 79 70 65 2e 68 61 73 49 6e 64 prototype.hasInd
00012e90: 69 63 65 73 29 7b 76 61 72 20 74 3d 22 22 2c 6e ices){var t="",n
00012ea0: 3d 7b 7d 3b 69 66 28 4f 62 6a 65 63 74 2e 64 65 ={};if(Object.de
00012eb0: 66 69 6e 65 50 72 6f 70 65 72 74 79 28 6e 2c 22 fineProperty(n,"
00012ec0: 68 61 73 49 6e 64 69 63 65 73 22 2c 7b 67 65 74 hasIndices",{get
00012ed0: 3a 66 75 6e 63 74 69 6f 6e 28 29 7b 74 2b 3d 22 :function(){t+="
00012ee0: 64 22 7d 7d 29 2c 4f 62 6a 65 63 74 2e 64 65 66 d"}}),Object.def
00012ef0: 69 6e 65 50 72 6f 70 65 72 74 79 28 6e 2c 22 73 ineProperty(n,"s
00012f00: 74 69 63 6b 79 22 2c 7b 67 65 74 3a 66 75 6e 63 ticky",{get:func
00012f10: 74 69 6f 6e 28 29 7b 74 2b 3d 22 79 22 7d 7d 29 tion(){t+="y"}})
00012f20: 2c 22 64 79 22 3d 3d 3d 74 29 72 65 74 75 72 6e ,"dy"===t)return
00012f30: 20 65 2e 67 65 74 7d 7d 72 65 74 75 72 6e 20 72 e.get}}return r
00012f40: 7d 7d 2c 34 37 35 37 35 3a 66 75 6e 63 74 69 6f }},47575:functio
00012f50: 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 65 20 73 74 n(e,t,n){"use st
00012f60: 72 69 63 74 22 3b 76 61 72 20 72 3d 6e 28 35 34 rict";var r=n(54
00012f70: 39 38 37 29 2e 73 75 70 70 6f 72 74 73 44 65 73 987).supportsDes
00012f80: 63 72 69 70 74 6f 72 73 2c 6f 3d 6e 28 31 36 30 criptors,o=n(160
00012f90: 38 32 29 2c 69 3d 4f 62 6a 65 63 74 2e 67 65 74 82),i=Object.get
00012fa0: 4f 77 6e 50 72 6f 70 65 72 74 79 44 65 73 63 72 OwnPropertyDescr
00012fb0: 69 70 74 6f 72 2c 61 3d 4f 62 6a 65 63 74 2e 64 iptor,a=Object.d
00012fc0: 65 66 69 6e 65 50 72 6f 70 65 72 74 79 2c 73 3d efineProperty,s=
00012fd0: 54 79 70 65 45 72 72 6f 72 2c 6c 3d 4f 62 6a 65 TypeError,l=Obje
00012fe0: 63 74 2e 67 65 74 50 72 6f 74 6f 74 79 70 65 4f ct.getPrototypeO
00012ff0: 66 2c 63 3d 2f 61 2f 3b 65 2e 65 78 70 6f 72 74 f,c=/a/;e.export
00013000: 73 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 69 66 28 s=function(){if(
00013010: 21 72 7c 7c 21 6c 29 74 68 72 6f 77 20 6e 65 77 !r||!l)throw new
00013020: 20 73 28 22 52 65 67 45 78 70 2e 70 72 6f 74 6f s("RegExp.proto
00013030: 74 79 70 65 2e 66 6c 61 67 73 20 72 65 71 75 69 type.flags requi
00013040: 72 65 73 20 61 20 74 72 75 65 20 45 53 35 20 65 res a true ES5 e
00013050: 6e 76 69 72 6f 6e 6d 65 6e 74 20 74 68 61 74 20 nvironment that
00013060: 73 75 70 70 6f 72 74 73 20 70 72 6f 70 65 72 74 supports propert
00013070: 79 20 64 65 73 63 72 69 70 74 6f 72 73 22 29 3b y descriptors");
00013080: 76 61 72 20 65 3d 6f 28 29 2c 74 3d 6c 28 63 29 var e=o(),t=l(c)
00013090: 2c 6e 3d 69 28 74 2c 22 66 6c 61 67 73 22 29 3b ,n=i(t,"flags");
000130a0: 72 65 74 75 72 6e 20 6e 26 26 6e 2e 67 65 74 3d return n&&n.get=
000130b0: 3d 3d 65 7c 7c 61 28 74 2c 22 66 6c 61 67 73 22 ==e||a(t,"flags"
000130c0: 2c 7b 63 6f 6e 66 69 67 75 72 61 62 6c 65 3a 21 ,{configurable:!
000130d0: 30 2c 65 6e 75 6d 65 72 61 62 6c 65 3a 21 31 2c 0,enumerable:!1,
000130e0: 67 65 74 3a 65 7d 29 2c 65 7d 7d 2c 37 35 38 39 get:e}),e}},7589
000130f0: 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 :function(e){"us
00013100: 65 20 73 74 72 69 63 74 22 3b 21 66 75 6e 63 74 e strict";!funct
00013110: 69 6f 6e 28 74 29 7b 63 6f 6e 73 74 20 6e 3d 32 ion(t){const n=2
00013120: 31 34 37 34 38 33 36 34 37 3b 66 75 6e 63 74 69 147483647;functi
00013130: 6f 6e 20 72 28 65 29 7b 63 6f 6e 73 74 20 74 3d on r(e){const t=
00013140: 6e 65 77 20 55 69 6e 74 33 32 41 72 72 61 79 28 new Uint32Array(
00013150: 5b 31 31 31 36 33 35 32 34 30 38 2c 31 38 39 39 [1116352408,1899
00013160: 34 34 37 34 34 31 2c 33 30 34 39 33 32 33 34 37 447441,304932347
00013170: 31 2c 33 39 32 31 30 30 39 35 37 33 2c 39 36 31 1,3921009573,961
00013180: 39 38 37 31 36 33 2c 31 35 30 38 39 37 30 39 39 987163,150897099
00013190: 33 2c 32 34 35 33 36 33 35 37 34 38 2c 32 38 37 3,2453635748,287
000131a0: 30 37 36 33 32 32 31 2c 33 36 32 34 33 38 31 30 0763221,36243810
000131b0: 38 30 2c 33 31 30 35 39 38 34 30 31 2c 36 30 37 80,310598401,607
000131c0: 32 32 35 32 37 38 2c 31 34 32 36 38 38 31 39 38 225278,142688198
000131d0: 37 2c 31 39 32 35 30 37 38 33 38 38 2c 32 31 36 7,1925078388,216
000131e0: 32 30 37 38 32 30 36 2c 32 36 31 34 38 38 38 31 2078206,26148881
000131f0: 30 33 2c 33 32 34 38 32 32 32 35 38 30 2c 33 38 03,3248222580,38
00013200: 33 35 33 39 30 34 30 31 2c 34 30 32 32 32 32 34 35390401,4022224
00013210: 37 37 34 2c 32 36 34 33 34 37 30 37 38 2c 36 30 774,264347078,60
00013220: 34 38 30 37 36 32 38 2c 37 37 30 32 35 35 39 38 4807628,77025598
00013230: 33 2c 31 32 34 39 31 35 30 31 32 32 2c 31 35 35 3,1249150122,155
00013240: 35 30 38 31 36 39 32 2c 31 39 39 36 30 36 34 39 5081692,19960649
00013250: 38 36 2c 32 35 35 34 32 32 30 38 38 32 2c 32 38 86,2554220882,28
00013260: 32 31 38 33 34 33 34 39 2c 32 39 35 32 39 39 36 21834349,2952996
00013270: 38 30 38 2c 33 32 31 30 33 31 33 36 37 31 2c 33 808,3210313671,3
00013280: 33 33 36 35 37 31 38 39 31 2c 33 35 38 34 35 32 336571891,358452
00013290: 38 37 31 31 2c 31 31 33 39 32 36 39 39 33 2c 33 8711,113926993,3
000132a0: 33 38 32 34 31 38 39 35 2c 36 36 36 33 30 37 32 38241895,6663072
000132b0: 30 35 2c 37 37 33 35 32 39 39 31 32 2c 31 32 39 05,773529912,129
000132c0: 34 37 35 37 33 37 32 2c 31 33 39 36 31 38 32 32 4757372,13961822
000132d0: 39 31 2c 31 36 39 35 31 38 33 37 30 30 2c 31 39 91,1695183700,19
000132e0: 38 36 36 36 31 30 35 31 2c 32 31 37 37 30 32 36 86661051,2177026
000132f0: 33 35 30 2c 32 34 35 36 39 35 36 30 33 37 2c 32 350,2456956037,2
00013300: 37 33 30 34 38 35 39 32 31 2c 32 38 32 30 33 30 730485921,282030
00013310: 32 34 31 31 2c 33 32 35 39 37 33 30 38 30 30 2c 2411,3259730800,
00013320: 33 33 34 35 37 36 34 37 37 31 2c 33 35 31 36 30 3345764771,35160
00013330: 36 35 38 31 37 2c 33 36 30 30 33 35 32 38 30 34 65817,3600352804
00013340: 2c 34 30 39 34 35 37 31 39 30 39 2c 32 37 35 34 ,4094571909,2754
00013350: 32 33 33 34 34 2c 34 33 30 32 32 37 37 33 34 2c 23344,430227734,
00013360: 35 30 36 39 34 38 36 31 36 2c 36 35 39 30 36 30 506948616,659060
00013370: 35 35 36 2c 38 38 33 39 39 37 38 37 37 2c 39 35 556,883997877,95
00013380: 38 31 33 39 35 37 31 2c 31 33 32 32 38 32 32 32 8139571,13228222
00013390: 31 38 2c 31 35 33 37 30 30 32 30 36 33 2c 31 37 18,1537002063,17
000133a0: 34 37 38 37 33 37 37 39 2c 31 39 35 35 35 36 32 47873779,1955562
000133b0: 32 32 32 2c 32 30 32 34 31 30 34 38 31 35 2c 32 222,2024104815,2
000133c0: 32 32 37 37 33 30 34 35 32 2c 32 33 36 31 38 35 227730452,236185
000133d0: 32 34 32 34 2c 32 34 32 38 34 33 36 34 37 34 2c 2424,2428436474,
000133e0: 32 37 35 36 37 33 34 31 38 37 2c 33 32 30 34 30 2756734187,32040
000133f0: 33 31 34 37 39 2c 33 33 32 39 33 32 35 32 39 38 31479,3329325298
00013400: 5d 29 3b 6c 65 74 20 6e 3d 31 37 37 39 30 33 33 ]);let n=1779033
00013410: 37 30 33 2c 72 3d 33 31 34 34 31 33 34 32 37 37 703,r=3144134277
00013420: 2c 6f 3d 31 30 31 33 39 30 34 32 34 32 2c 69 3d ,o=1013904242,i=
00013430: 32 37 37 33 34 38 30 37 36 32 2c 61 3d 31 33 35 2773480762,a=135
00013440: 39 38 39 33 31 31 39 2c 73 3d 32 36 30 30 38 32 9893119,s=260082
00013450: 32 39 32 34 2c 6c 3d 35 32 38 37 33 34 36 33 35 2924,l=528734635
00013460: 2c 63 3d 31 35 34 31 34 35 39 32 32 35 3b 63 6f ,c=1541459225;co
00013470: 6e 73 74 20 75 3d 6e 65 77 20 55 69 6e 74 33 32 nst u=new Uint32
00013480: 41 72 72 61 79 28 36 34 29 3b 66 75 6e 63 74 69 Array(64);functi
00013490: 6f 6e 20 64 28 65 29 7b 6c 65 74 20 64 3d 30 2c on d(e){let d=0,
000134a0: 70 3d 65 2e 6c 65 6e 67 74 68 3b 66 6f 72 28 3b p=e.length;for(;
000134b0: 70 3e 3d 36 34 3b 29 7b 6c 65 74 20 66 2c 6d 2c p>=64;){let f,m,
000134c0: 68 2c 67 2c 62 2c 76 3d 6e 2c 79 3d 72 2c 45 3d h,g,b,v=n,y=r,E=
000134d0: 6f 2c 5f 3d 69 2c 53 3d 61 2c 77 3d 73 2c 54 3d o,_=i,S=a,w=s,T=
000134e0: 6c 2c 78 3d 63 3b 66 6f 72 28 6d 3d 30 3b 6d 3c l,x=c;for(m=0;m<
000134f0: 31 36 3b 6d 2b 2b 29 68 3d 64 2b 34 2a 6d 2c 75 16;m++)h=d+4*m,u
00013500: 5b 6d 5d 3d 28 32 35 35 26 65 5b 68 5d 29 3c 3c [m]=(255&e[h])<<
00013510: 32 34 7c 28 32 35 35 26 65 5b 68 2b 31 5d 29 3c 24|(255&e[h+1])<
00013520: 3c 31 36 7c 28 32 35 35 26 65 5b 68 2b 32 5d 29 <16|(255&e[h+2])
00013530: 3c 3c 38 7c 32 35 35 26 65 5b 68 2b 33 5d 3b 66 <<8|255&e[h+3];f
00013540: 6f 72 28 6d 3d 31 36 3b 6d 3c 36 34 3b 6d 2b 2b or(m=16;m<64;m++
00013550: 29 66 3d 75 5b 6d 2d 32 5d 2c 67 3d 28 66 3e 3e )f=u[m-2],g=(f>>
00013560: 3e 31 37 7c 66 3c 3c 31 35 29 5e 28 66 3e 3e 3e >17|f<<15)^(f>>>
00013570: 31 39 7c 66 3c 3c 31 33 29 5e 66 3e 3e 3e 31 30 19|f<<13)^f>>>10
00013580: 2c 66 3d 75 5b 6d 2d 31 35 5d 2c 62 3d 28 66 3e ,f=u[m-15],b=(f>
00013590: 3e 3e 37 7c 66 3c 3c 32 35 29 5e 28 66 3e 3e 3e >>7|f<<25)^(f>>>
000135a0: 31 38 7c 66 3c 3c 31 34 29 5e 66 3e 3e 3e 33 2c 18|f<<14)^f>>>3,
000135b0: 75 5b 6d 5d 3d 28 67 2b 75 5b 6d 2d 37 5d 7c 30 u[m]=(g+u[m-7]|0
000135c0: 29 2b 28 62 2b 75 5b 6d 2d 31 36 5d 7c 30 29 7c )+(b+u[m-16]|0)|
000135d0: 30 3b 66 6f 72 28 6d 3d 30 3b 6d 3c 36 34 3b 6d 0;for(m=0;m<64;m
000135e0: 2b 2b 29 67 3d 28 28 28 53 3e 3e 3e 36 7c 53 3c ++)g=(((S>>>6|S<
000135f0: 3c 32 36 29 5e 28 53 3e 3e 3e 31 31 7c 53 3c 3c <26)^(S>>>11|S<<
00013600: 32 31 29 5e 28 53 3e 3e 3e 32 35 7c 53 3c 3c 37 21)^(S>>>25|S<<7
00013610: 29 29 2b 28 53 26 77 5e 7e 53 26 54 29 7c 30 29 ))+(S&w^~S&T)|0)
00013620: 2b 28 78 2b 28 74 5b 6d 5d 2b 75 5b 6d 5d 7c 30 +(x+(t[m]+u[m]|0
00013630: 29 7c 30 29 7c 30 2c 62 3d 28 28 76 3e 3e 3e 32 )|0)|0,b=((v>>>2
00013640: 7c 76 3c 3c 33 30 29 5e 28 76 3e 3e 3e 31 33 7c |v<<30)^(v>>>13|
00013650: 76 3c 3c 31 39 29 5e 28 76 3e 3e 3e 32 32 7c 76 v<<19)^(v>>>22|v
00013660: 3c 3c 31 30 29 29 2b 28 76 26 79 5e 76 26 45 5e <<10))+(v&y^v&E^
00013670: 79 26 45 29 7c 30 2c 78 3d 54 2c 54 3d 77 2c 77 y&E)|0,x=T,T=w,w
00013680: 3d 53 2c 53 3d 5f 2b 67 7c 30 2c 5f 3d 45 2c 45 =S,S=_+g|0,_=E,E
00013690: 3d 79 2c 79 3d 76 2c 76 3d 67 2b 62 7c 30 3b 6e =y,y=v,v=g+b|0;n
000136a0: 3d 6e 2b 76 7c 30 2c 72 3d 72 2b 79 7c 30 2c 6f =n+v|0,r=r+y|0,o
000136b0: 3d 6f 2b 45 7c 30 2c 69 3d 69 2b 5f 7c 30 2c 61 =o+E|0,i=i+_|0,a
000136c0: 3d 61 2b 53 7c 30 2c 73 3d 73 2b 77 7c 30 2c 6c =a+S|0,s=s+w|0,l
000136d0: 3d 6c 2b 54 7c 30 2c 63 3d 63 2b 78 7c 30 2c 64 =l+T|0,c=c+x|0,d
000136e0: 2b 3d 36 34 2c 70 2d 3d 36 34 7d 7d 64 28 65 29 +=64,p-=64}}d(e)
000136f0: 3b 6c 65 74 20 70 2c 66 3d 65 2e 6c 65 6e 67 74 ;let p,f=e.lengt
00013700: 68 25 36 34 2c 6d 3d 65 2e 6c 65 6e 67 74 68 2f h%64,m=e.length/
00013710: 35 33 36 38 37 30 39 31 32 7c 30 2c 68 3d 65 2e 536870912|0,h=e.
00013720: 6c 65 6e 67 74 68 3c 3c 33 2c 67 3d 66 3c 35 36 length<<3,g=f<56
00013730: 3f 35 36 3a 31 32 30 2c 62 3d 65 2e 73 6c 69 63 ?56:120,b=e.slic
00013740: 65 28 65 2e 6c 65 6e 67 74 68 2d 66 2c 65 2e 6c e(e.length-f,e.l
00013750: 65 6e 67 74 68 29 3b 66 6f 72 28 62 2e 70 75 73 ength);for(b.pus
00013760: 68 28 31 32 38 29 2c 70 3d 66 2b 31 3b 70 3c 67 h(128),p=f+1;p<g
00013770: 3b 70 2b 2b 29 62 2e 70 75 73 68 28 30 29 3b 72 ;p++)b.push(0);r
00013780: 65 74 75 72 6e 20 62 2e 70 75 73 68 28 6d 3e 3e eturn b.push(m>>
00013790: 3e 32 34 26 32 35 35 29 2c 62 2e 70 75 73 68 28 >24&255),b.push(
000137a0: 6d 3e 3e 3e 31 36 26 32 35 35 29 2c 62 2e 70 75 m>>>16&255),b.pu
000137b0: 73 68 28 6d 3e 3e 3e 38 26 32 35 35 29 2c 62 2e sh(m>>>8&255),b.
000137c0: 70 75 73 68 28 6d 3e 3e 3e 30 26 32 35 35 29 2c push(m>>>0&255),
000137d0: 62 2e 70 75 73 68 28 68 3e 3e 3e 32 34 26 32 35 b.push(h>>>24&25
000137e0: 35 29 2c 62 2e 70 75 73 68 28 68 3e 3e 3e 31 36 5),b.push(h>>>16
000137f0: 26 32 35 35 29 2c 62 2e 70 75 73 68 28 68 3e 3e &255),b.push(h>>
00013800: 3e 38 26 32 35 35 29 2c 62 2e 70 75 73 68 28 68 >8&255),b.push(h
00013810: 3e 3e 3e 30 26 32 35 35 29 2c 64 28 62 29 2c 5b >>>0&255),d(b),[
00013820: 6e 3e 3e 3e 32 34 26 32 35 35 2c 6e 3e 3e 3e 31 n>>>24&255,n>>>1
00013830: 36 26 32 35 35 2c 6e 3e 3e 3e 38 26 32 35 35 2c 6&255,n>>>8&255,
00013840: 6e 3e 3e 3e 30 26 32 35 35 2c 72 3e 3e 3e 32 34 n>>>0&255,r>>>24
00013850: 26 32 35 35 2c 72 3e 3e 3e 31 36 26 32 35 35 2c &255,r>>>16&255,
00013860: 72 3e 3e 3e 38 26 32 35 35 2c 72 3e 3e 3e 30 26 r>>>8&255,r>>>0&
00013870: 32 35 35 2c 6f 3e 3e 3e 32 34 26 32 35 35 2c 6f 255,o>>>24&255,o
00013880: 3e 3e 3e 31 36 26 32 35 35 2c 6f 3e 3e 3e 38 26 >>>16&255,o>>>8&
00013890: 32 35 35 2c 6f 3e 3e 3e 30 26 32 35 35 2c 69 3e 255,o>>>0&255,i>
000138a0: 3e 3e 32 34 26 32 35 35 2c 69 3e 3e 3e 31 36 26 >>24&255,i>>>16&
000138b0: 32 35 35 2c 69 3e 3e 3e 38 26 32 35 35 2c 69 3e 255,i>>>8&255,i>
000138c0: 3e 3e 30 26 32 35 35 2c 61 3e 3e 3e 32 34 26 32 >>0&255,a>>>24&2
000138d0: 35 35 2c 61 3e 3e 3e 31 36 26 32 35 35 2c 61 3e 55,a>>>16&255,a>
000138e0: 3e 3e 38 26 32 35 35 2c 61 3e 3e 3e 30 26 32 35 >>8&255,a>>>0&25
000138f0: 35 2c 73 3e 3e 3e 32 34 26 32 35 35 2c 73 3e 3e 5,s>>>24&255,s>>
00013900: 3e 31 36 26 32 35 35 2c 73 3e 3e 3e 38 26 32 35 >16&255,s>>>8&25
00013910: 35 2c 73 3e 3e 3e 30 26 32 35 35 2c 6c 3e 3e 3e 5,s>>>0&255,l>>>
00013920: 32 34 26 32 35 35 2c 6c 3e 3e 3e 31 36 26 32 35 24&255,l>>>16&25
00013930: 35 2c 6c 3e 3e 3e 38 26 32 35 35 2c 6c 3e 3e 3e 5,l>>>8&255,l>>>
00013940: 30 26 32 35 35 2c 63 3e 3e 3e 32 34 26 32 35 35 0&255,c>>>24&255
00013950: 2c 63 3e 3e 3e 31 36 26 32 35 35 2c 63 3e 3e 3e ,c>>>16&255,c>>>
00013960: 38 26 32 35 35 2c 63 3e 3e 3e 30 26 32 35 35 5d 8&255,c>>>0&255]
00013970: 7d 66 75 6e 63 74 69 6f 6e 20 6f 28 65 2c 74 2c }function o(e,t,
00013980: 6e 29 7b 65 3d 65 2e 6c 65 6e 67 74 68 3c 3d 36 n){e=e.length<=6
00013990: 34 3f 65 3a 72 28 65 29 3b 63 6f 6e 73 74 20 6f 4?e:r(e);const o
000139a0: 3d 36 34 2b 74 2e 6c 65 6e 67 74 68 2b 34 2c 69 =64+t.length+4,i
000139b0: 3d 6e 65 77 20 41 72 72 61 79 28 6f 29 2c 61 3d =new Array(o),a=
000139c0: 6e 65 77 20 41 72 72 61 79 28 36 34 29 3b 6c 65 new Array(64);le
000139d0: 74 20 73 2c 6c 3d 5b 5d 3b 66 6f 72 28 73 3d 30 t s,l=[];for(s=0
000139e0: 3b 73 3c 36 34 3b 73 2b 2b 29 69 5b 73 5d 3d 35 ;s<64;s++)i[s]=5
000139f0: 34 3b 66 6f 72 28 73 3d 30 3b 73 3c 65 2e 6c 65 4;for(s=0;s<e.le
00013a00: 6e 67 74 68 3b 73 2b 2b 29 69 5b 73 5d 5e 3d 65 ngth;s++)i[s]^=e
00013a10: 5b 73 5d 3b 66 6f 72 28 73 3d 30 3b 73 3c 74 2e [s];for(s=0;s<t.
00013a20: 6c 65 6e 67 74 68 3b 73 2b 2b 29 69 5b 36 34 2b length;s++)i[64+
00013a30: 73 5d 3d 74 5b 73 5d 3b 66 6f 72 28 73 3d 6f 2d s]=t[s];for(s=o-
00013a40: 34 3b 73 3c 6f 3b 73 2b 2b 29 69 5b 73 5d 3d 30 4;s<o;s++)i[s]=0
00013a50: 3b 66 6f 72 28 73 3d 30 3b 73 3c 36 34 3b 73 2b ;for(s=0;s<64;s+
00013a60: 2b 29 61 5b 73 5d 3d 39 32 3b 66 6f 72 28 73 3d +)a[s]=92;for(s=
00013a70: 30 3b 73 3c 65 2e 6c 65 6e 67 74 68 3b 73 2b 2b 0;s<e.length;s++
00013a80: 29 61 5b 73 5d 5e 3d 65 5b 73 5d 3b 66 75 6e 63 )a[s]^=e[s];func
00013a90: 74 69 6f 6e 20 63 28 29 7b 66 6f 72 28 6c 65 74 tion c(){for(let
00013aa0: 20 65 3d 6f 2d 31 3b 65 3e 3d 6f 2d 34 3b 65 2d e=o-1;e>=o-4;e-
00013ab0: 2d 29 7b 69 66 28 69 5b 65 5d 2b 2b 2c 69 5b 65 -){if(i[e]++,i[e
00013ac0: 5d 3c 3d 32 35 35 29 72 65 74 75 72 6e 3b 69 5b ]<=255)return;i[
00013ad0: 65 5d 3d 30 7d 7d 66 6f 72 28 3b 6e 3e 3d 33 32 e]=0}}for(;n>=32
00013ae0: 3b 29 63 28 29 2c 6c 3d 6c 2e 63 6f 6e 63 61 74 ;)c(),l=l.concat
00013af0: 28 72 28 61 2e 63 6f 6e 63 61 74 28 72 28 69 29 (r(a.concat(r(i)
00013b00: 29 29 29 2c 6e 2d 3d 33 32 3b 72 65 74 75 72 6e ))),n-=32;return
00013b10: 20 6e 3e 30 26 26 28 63 28 29 2c 6c 3d 6c 2e 63 n>0&&(c(),l=l.c
00013b20: 6f 6e 63 61 74 28 72 28 61 2e 63 6f 6e 63 61 74 oncat(r(a.concat
00013b30: 28 72 28 69 29 29 29 2e 73 6c 69 63 65 28 30 2c (r(i))).slice(0,
00013b40: 6e 29 29 29 2c 6c 7d 66 75 6e 63 74 69 6f 6e 20 n))),l}function
00013b50: 69 28 65 2c 74 2c 6e 2c 72 2c 6f 29 7b 6c 65 74 i(e,t,n,r,o){let
00013b60: 20 69 3b 66 6f 72 28 63 28 65 2c 31 36 2a 28 32 i;for(c(e,16*(2
00013b70: 2a 6e 2d 31 29 2c 6f 2c 30 2c 31 36 29 2c 69 3d *n-1),o,0,16),i=
00013b80: 30 3b 69 3c 32 2a 6e 3b 69 2b 2b 29 6c 28 65 2c 0;i<2*n;i++)l(e,
00013b90: 31 36 2a 69 2c 6f 2c 31 36 29 2c 73 28 6f 2c 72 16*i,o,16),s(o,r
00013ba0: 29 2c 63 28 6f 2c 30 2c 65 2c 74 2b 31 36 2a 69 ),c(o,0,e,t+16*i
00013bb0: 2c 31 36 29 3b 66 6f 72 28 69 3d 30 3b 69 3c 6e ,16);for(i=0;i<n
00013bc0: 3b 69 2b 2b 29 63 28 65 2c 74 2b 32 2a 69 2a 31 ;i++)c(e,t+2*i*1
00013bd0: 36 2c 65 2c 31 36 2a 69 2c 31 36 29 3b 66 6f 72 6,e,16*i,16);for
00013be0: 28 69 3d 30 3b 69 3c 6e 3b 69 2b 2b 29 63 28 65 (i=0;i<n;i++)c(e
00013bf0: 2c 74 2b 31 36 2a 28 32 2a 69 2b 31 29 2c 65 2c ,t+16*(2*i+1),e,
00013c00: 31 36 2a 28 69 2b 6e 29 2c 31 36 29 7d 66 75 6e 16*(i+n),16)}fun
00013c10: 63 74 69 6f 6e 20 61 28 65 2c 74 29 7b 72 65 74 ction a(e,t){ret
00013c20: 75 72 6e 20 65 3c 3c 74 7c 65 3e 3e 3e 33 32 2d urn e<<t|e>>>32-
00013c30: 74 7d 66 75 6e 63 74 69 6f 6e 20 73 28 65 2c 74 t}function s(e,t
00013c40: 29 7b 63 28 65 2c 30 2c 74 2c 30 2c 31 36 29 3b ){c(e,0,t,0,16);
00013c50: 66 6f 72 28 6c 65 74 20 65 3d 38 3b 65 3e 30 3b for(let e=8;e>0;
00013c60: 65 2d 3d 32 29 74 5b 34 5d 5e 3d 61 28 74 5b 30 e-=2)t[4]^=a(t[0
00013c70: 5d 2b 74 5b 31 32 5d 2c 37 29 2c 74 5b 38 5d 5e ]+t[12],7),t[8]^
00013c80: 3d 61 28 74 5b 34 5d 2b 74 5b 30 5d 2c 39 29 2c =a(t[4]+t[0],9),
00013c90: 74 5b 31 32 5d 5e 3d 61 28 74 5b 38 5d 2b 74 5b t[12]^=a(t[8]+t[
00013ca0: 34 5d 2c 31 33 29 2c 74 5b 30 5d 5e 3d 61 28 74 4],13),t[0]^=a(t
00013cb0: 5b 31 32 5d 2b 74 5b 38 5d 2c 31 38 29 2c 74 5b [12]+t[8],18),t[
00013cc0: 39 5d 5e 3d 61 28 74 5b 35 5d 2b 74 5b 31 5d 2c 9]^=a(t[5]+t[1],
00013cd0: 37 29 2c 74 5b 31 33 5d 5e 3d 61 28 74 5b 39 5d 7),t[13]^=a(t[9]
00013ce0: 2b 74 5b 35 5d 2c 39 29 2c 74 5b 31 5d 5e 3d 61 +t[5],9),t[1]^=a
00013cf0: 28 74 5b 31 33 5d 2b 74 5b 39 5d 2c 31 33 29 2c (t[13]+t[9],13),
00013d00: 74 5b 35 5d 5e 3d 61 28 74 5b 31 5d 2b 74 5b 31 t[5]^=a(t[1]+t[1
00013d10: 33 5d 2c 31 38 29 2c 74 5b 31 34 5d 5e 3d 61 28 3],18),t[14]^=a(
00013d20: 74 5b 31 30 5d 2b 74 5b 36 5d 2c 37 29 2c 74 5b t[10]+t[6],7),t[
00013d30: 32 5d 5e 3d 61 28 74 5b 31 34 5d 2b 74 5b 31 30 2]^=a(t[14]+t[10
00013d40: 5d 2c 39 29 2c 74 5b 36 5d 5e 3d 61 28 74 5b 32 ],9),t[6]^=a(t[2
00013d50: 5d 2b 74 5b 31 34 5d 2c 31 33 29 2c 74 5b 31 30 ]+t[14],13),t[10
00013d60: 5d 5e 3d 61 28 74 5b 36 5d 2b 74 5b 32 5d 2c 31 ]^=a(t[6]+t[2],1
00013d70: 38 29 2c 74 5b 33 5d 5e 3d 61 28 74 5b 31 35 5d 8),t[3]^=a(t[15]
00013d80: 2b 74 5b 31 31 5d 2c 37 29 2c 74 5b 37 5d 5e 3d +t[11],7),t[7]^=
00013d90: 61 28 74 5b 33 5d 2b 74 5b 31 35 5d 2c 39 29 2c a(t[3]+t[15],9),
00013da0: 74 5b 31 31 5d 5e 3d 61 28 74 5b 37 5d 2b 74 5b t[11]^=a(t[7]+t[
00013db0: 33 5d 2c 31 33 29 2c 74 5b 31 35 5d 5e 3d 61 28 3],13),t[15]^=a(
00013dc0: 74 5b 31 31 5d 2b 74 5b 37 5d 2c 31 38 29 2c 74 t[11]+t[7],18),t
00013dd0: 5b 31 5d 5e 3d 61 28 74 5b 30 5d 2b 74 5b 33 5d [1]^=a(t[0]+t[3]
00013de0: 2c 37 29 2c 74 5b 32 5d 5e 3d 61 28 74 5b 31 5d ,7),t[2]^=a(t[1]
00013df0: 2b 74 5b 30 5d 2c 39 29 2c 74 5b 33 5d 5e 3d 61 +t[0],9),t[3]^=a
00013e00: 28 74 5b 32 5d 2b 74 5b 31 5d 2c 31 33 29 2c 74 (t[2]+t[1],13),t
00013e10: 5b 30 5d 5e 3d 61 28 74 5b 33 5d 2b 74 5b 32 5d [0]^=a(t[3]+t[2]
00013e20: 2c 31 38 29 2c 74 5b 36 5d 5e 3d 61 28 74 5b 35 ,18),t[6]^=a(t[5
00013e30: 5d 2b 74 5b 34 5d 2c 37 29 2c 74 5b 37 5d 5e 3d ]+t[4],7),t[7]^=
00013e40: 61 28 74 5b 36 5d 2b 74 5b 35 5d 2c 39 29 2c 74 a(t[6]+t[5],9),t
00013e50: 5b 34 5d 5e 3d 61 28 74 5b 37 5d 2b 74 5b 36 5d [4]^=a(t[7]+t[6]
00013e60: 2c 31 33 29 2c 74 5b 35 5d 5e 3d 61 28 74 5b 34 ,13),t[5]^=a(t[4
00013e70: 5d 2b 74 5b 37 5d 2c 31 38 29 2c 74 5b 31 31 5d ]+t[7],18),t[11]
00013e80: 5e 3d 61 28 74 5b 31 30 5d 2b 74 5b 39 5d 2c 37 ^=a(t[10]+t[9],7
00013e90: 29 2c 74 5b 38 5d 5e 3d 61 28 74 5b 31 31 5d 2b ),t[8]^=a(t[11]+
00013ea0: 74 5b 31 30 5d 2c 39 29 2c 74 5b 39 5d 5e 3d 61 t[10],9),t[9]^=a
00013eb0: 28 74 5b 38 5d 2b 74 5b 31 31 5d 2c 31 33 29 2c (t[8]+t[11],13),
00013ec0: 74 5b 31 30 5d 5e 3d 61 28 74 5b 39 5d 2b 74 5b t[10]^=a(t[9]+t[
00013ed0: 38 5d 2c 31 38 29 2c 74 5b 31 32 5d 5e 3d 61 28 8],18),t[12]^=a(
00013ee0: 74 5b 31 35 5d 2b 74 5b 31 34 5d 2c 37 29 2c 74 t[15]+t[14],7),t
00013ef0: 5b 31 33 5d 5e 3d 61 28 74 5b 31 32 5d 2b 74 5b [13]^=a(t[12]+t[
00013f00: 31 35 5d 2c 39 29 2c 74 5b 31 34 5d 5e 3d 61 28 15],9),t[14]^=a(
00013f10: 74 5b 31 33 5d 2b 74 5b 31 32 5d 2c 31 33 29 2c t[13]+t[12],13),
00013f20: 74 5b 31 35 5d 5e 3d 61 28 74 5b 31 34 5d 2b 74 t[15]^=a(t[14]+t
00013f30: 5b 31 33 5d 2c 31 38 29 3b 66 6f 72 28 6c 65 74 [13],18);for(let
00013f40: 20 6e 3d 30 3b 6e 3c 31 36 3b 2b 2b 6e 29 65 5b n=0;n<16;++n)e[
00013f50: 6e 5d 2b 3d 74 5b 6e 5d 7d 66 75 6e 63 74 69 6f n]+=t[n]}functio
00013f60: 6e 20 6c 28 65 2c 74 2c 6e 2c 72 29 7b 66 6f 72 n l(e,t,n,r){for
00013f70: 28 6c 65 74 20 6f 3d 30 3b 6f 3c 72 3b 6f 2b 2b (let o=0;o<r;o++
00013f80: 29 6e 5b 6f 5d 5e 3d 65 5b 74 2b 6f 5d 7d 66 75 )n[o]^=e[t+o]}fu
00013f90: 6e 63 74 69 6f 6e 20 63 28 65 2c 74 2c 6e 2c 72 nction c(e,t,n,r
00013fa0: 2c 6f 29 7b 66 6f 72 28 3b 6f 2d 2d 3b 29 6e 5b ,o){for(;o--;)n[
00013fb0: 72 2b 2b 5d 3d 65 5b 74 2b 2b 5d 7d 66 75 6e 63 r++]=e[t++]}func
00013fc0: 74 69 6f 6e 20 75 28 65 29 7b 69 66 28 21 65 7c tion u(e){if(!e|
00013fd0: 7c 22 6e 75 6d 62 65 72 22 21 3d 74 79 70 65 6f |"number"!=typeo
00013fe0: 66 20 65 2e 6c 65 6e 67 74 68 29 72 65 74 75 72 f e.length)retur
00013ff0: 6e 21 31 3b 66 6f 72 28 6c 65 74 20 74 3d 30 3b n!1;for(let t=0;
00014000: 74 3c 65 2e 6c 65 6e 67 74 68 3b 74 2b 2b 29 7b t<e.length;t++){
00014010: 63 6f 6e 73 74 20 6e 3d 65 5b 74 5d 3b 69 66 28 const n=e[t];if(
00014020: 22 6e 75 6d 62 65 72 22 21 3d 74 79 70 65 6f 66 "number"!=typeof
00014030: 20 6e 7c 7c 6e 25 31 7c 7c 6e 3c 30 7c 7c 6e 3e n||n%1||n<0||n>
00014040: 3d 32 35 36 29 72 65 74 75 72 6e 21 31 7d 72 65 =256)return!1}re
00014050: 74 75 72 6e 21 30 7d 66 75 6e 63 74 69 6f 6e 20 turn!0}function
00014060: 64 28 65 2c 74 29 7b 69 66 28 22 6e 75 6d 62 65 d(e,t){if("numbe
00014070: 72 22 21 3d 74 79 70 65 6f 66 20 65 7c 7c 65 25 r"!=typeof e||e%
00014080: 31 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 1)throw new Erro
00014090: 72 28 22 69 6e 76 61 6c 69 64 20 22 2b 74 29 3b r("invalid "+t);
000140a0: 72 65 74 75 72 6e 20 65 7d 66 75 6e 63 74 69 6f return e}functio
000140b0: 6e 20 70 28 65 2c 74 2c 72 2c 61 2c 73 2c 70 2c n p(e,t,r,a,s,p,
000140c0: 66 29 7b 69 66 28 72 3d 64 28 72 2c 22 4e 22 29 f){if(r=d(r,"N")
000140d0: 2c 61 3d 64 28 61 2c 22 72 22 29 2c 73 3d 64 28 ,a=d(a,"r"),s=d(
000140e0: 73 2c 22 70 22 29 2c 70 3d 64 28 70 2c 22 64 6b s,"p"),p=d(p,"dk
000140f0: 4c 65 6e 22 29 2c 30 3d 3d 3d 72 7c 7c 30 21 3d Len"),0===r||0!=
00014100: 28 72 26 72 2d 31 29 29 74 68 72 6f 77 20 6e 65 (r&r-1))throw ne
00014110: 77 20 45 72 72 6f 72 28 22 4e 20 6d 75 73 74 20 w Error("N must
00014120: 62 65 20 70 6f 77 65 72 20 6f 66 20 32 22 29 3b be power of 2");
00014130: 69 66 28 72 3e 6e 2f 31 32 38 2f 61 29 74 68 72 if(r>n/128/a)thr
00014140: 6f 77 20 6e 65 77 20 45 72 72 6f 72 28 22 4e 20 ow new Error("N
00014150: 74 6f 6f 20 6c 61 72 67 65 22 29 3b 69 66 28 61 too large");if(a
00014160: 3e 6e 2f 31 32 38 2f 73 29 74 68 72 6f 77 20 6e >n/128/s)throw n
00014170: 65 77 20 45 72 72 6f 72 28 22 72 20 74 6f 6f 20 ew Error("r too
00014180: 6c 61 72 67 65 22 29 3b 69 66 28 21 75 28 65 29 large");if(!u(e)
00014190: 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 )throw new Error
000141a0: 28 22 70 61 73 73 77 6f 72 64 20 6d 75 73 74 20 ("password must
000141b0: 62 65 20 61 6e 20 61 72 72 61 79 20 6f 72 20 62 be an array or b
000141c0: 75 66 66 65 72 22 29 3b 69 66 28 65 3d 41 72 72 uffer");if(e=Arr
000141d0: 61 79 2e 70 72 6f 74 6f 74 79 70 65 2e 73 6c 69 ay.prototype.sli
000141e0: 63 65 2e 63 61 6c 6c 28 65 29 2c 21 75 28 74 29 ce.call(e),!u(t)
000141f0: 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 )throw new Error
00014200: 28 22 73 61 6c 74 20 6d 75 73 74 20 62 65 20 61 ("salt must be a
00014210: 6e 20 61 72 72 61 79 20 6f 72 20 62 75 66 66 65 n array or buffe
00014220: 72 22 29 3b 74 3d 41 72 72 61 79 2e 70 72 6f 74 r");t=Array.prot
00014230: 6f 74 79 70 65 2e 73 6c 69 63 65 2e 63 61 6c 6c otype.slice.call
00014240: 28 74 29 3b 6c 65 74 20 6d 3d 6f 28 65 2c 74 2c (t);let m=o(e,t,
00014250: 31 32 38 2a 73 2a 61 29 3b 63 6f 6e 73 74 20 68 128*s*a);const h
00014260: 3d 6e 65 77 20 55 69 6e 74 33 32 41 72 72 61 79 =new Uint32Array
00014270: 28 33 32 2a 73 2a 61 29 3b 66 6f 72 28 6c 65 74 (32*s*a);for(let
00014280: 20 65 3d 30 3b 65 3c 68 2e 6c 65 6e 67 74 68 3b e=0;e<h.length;
00014290: 65 2b 2b 29 7b 63 6f 6e 73 74 20 74 3d 34 2a 65 e++){const t=4*e
000142a0: 3b 68 5b 65 5d 3d 28 32 35 35 26 6d 5b 74 2b 33 ;h[e]=(255&m[t+3
000142b0: 5d 29 3c 3c 32 34 7c 28 32 35 35 26 6d 5b 74 2b ])<<24|(255&m[t+
000142c0: 32 5d 29 3c 3c 31 36 7c 28 32 35 35 26 6d 5b 74 2])<<16|(255&m[t
000142d0: 2b 31 5d 29 3c 3c 38 7c 28 32 35 35 26 6d 5b 74 +1])<<8|(255&m[t
000142e0: 2b 30 5d 29 3c 3c 30 7d 63 6f 6e 73 74 20 67 3d +0])<<0}const g=
000142f0: 6e 65 77 20 55 69 6e 74 33 32 41 72 72 61 79 28 new Uint32Array(
00014300: 36 34 2a 61 29 2c 62 3d 6e 65 77 20 55 69 6e 74 64*a),b=new Uint
00014310: 33 32 41 72 72 61 79 28 33 32 2a 61 2a 72 29 2c 32Array(32*a*r),
00014320: 76 3d 33 32 2a 61 2c 79 3d 6e 65 77 20 55 69 6e v=32*a,y=new Uin
00014330: 74 33 32 41 72 72 61 79 28 31 36 29 2c 45 3d 6e t32Array(16),E=n
00014340: 65 77 20 55 69 6e 74 33 32 41 72 72 61 79 28 31 ew Uint32Array(1
00014350: 36 29 2c 5f 3d 73 2a 72 2a 32 3b 6c 65 74 20 53 6),_=s*r*2;let S
00014360: 2c 77 2c 54 3d 30 2c 78 3d 6e 75 6c 6c 2c 41 3d ,w,T=0,x=null,A=
00014370: 21 31 2c 43 3d 30 2c 52 3d 30 3b 63 6f 6e 73 74 !1,C=0,R=0;const
00014380: 20 6b 3d 66 3f 70 61 72 73 65 49 6e 74 28 31 65 k=f?parseInt(1e
00014390: 33 2f 61 29 3a 34 32 39 34 39 36 37 32 39 35 2c 3/a):4294967295,
000143a0: 4f 3d 22 75 6e 64 65 66 69 6e 65 64 22 21 3d 74 O="undefined"!=t
000143b0: 79 70 65 6f 66 20 73 65 74 49 6d 6d 65 64 69 61 ypeof setImmedia
000143c0: 74 65 3f 73 65 74 49 6d 6d 65 64 69 61 74 65 3a te?setImmediate:
000143d0: 73 65 74 54 69 6d 65 6f 75 74 2c 4e 3d 66 75 6e setTimeout,N=fun
000143e0: 63 74 69 6f 6e 28 29 7b 69 66 28 41 29 72 65 74 ction(){if(A)ret
000143f0: 75 72 6e 20 66 28 6e 65 77 20 45 72 72 6f 72 28 urn f(new Error(
00014400: 22 63 61 6e 63 65 6c 6c 65 64 22 29 2c 54 2f 5f "cancelled"),T/_
00014410: 29 3b 6c 65 74 20 74 3b 73 77 69 74 63 68 28 43 );let t;switch(C
00014420: 29 7b 63 61 73 65 20 30 3a 77 3d 33 32 2a 52 2a ){case 0:w=32*R*
00014430: 61 2c 63 28 68 2c 77 2c 67 2c 30 2c 76 29 2c 43 a,c(h,w,g,0,v),C
00014440: 3d 31 2c 53 3d 30 3b 63 61 73 65 20 31 3a 74 3d =1,S=0;case 1:t=
00014450: 72 2d 53 2c 74 3e 6b 26 26 28 74 3d 6b 29 3b 66 r-S,t>k&&(t=k);f
00014460: 6f 72 28 6c 65 74 20 65 3d 30 3b 65 3c 74 3b 65 or(let e=0;e<t;e
00014470: 2b 2b 29 63 28 67 2c 30 2c 62 2c 28 53 2b 65 29 ++)c(g,0,b,(S+e)
00014480: 2a 76 2c 76 29 2c 69 28 67 2c 76 2c 61 2c 79 2c *v,v),i(g,v,a,y,
00014490: 45 29 3b 69 66 28 53 2b 3d 74 2c 54 2b 3d 74 2c E);if(S+=t,T+=t,
000144a0: 66 29 7b 63 6f 6e 73 74 20 65 3d 70 61 72 73 65 f){const e=parse
000144b0: 49 6e 74 28 31 65 33 2a 54 2f 5f 29 3b 69 66 28 Int(1e3*T/_);if(
000144c0: 65 21 3d 3d 78 29 7b 69 66 28 41 3d 66 28 6e 75 e!==x){if(A=f(nu
000144d0: 6c 6c 2c 54 2f 5f 29 2c 41 29 62 72 65 61 6b 3b ll,T/_),A)break;
000144e0: 78 3d 65 7d 7d 69 66 28 53 3c 72 29 62 72 65 61 x=e}}if(S<r)brea
000144f0: 6b 3b 53 3d 30 2c 43 3d 32 3b 63 61 73 65 20 32 k;S=0,C=2;case 2
00014500: 3a 74 3d 72 2d 53 2c 74 3e 6b 26 26 28 74 3d 6b :t=r-S,t>k&&(t=k
00014510: 29 3b 66 6f 72 28 6c 65 74 20 65 3d 30 3b 65 3c );for(let e=0;e<
00014520: 74 3b 65 2b 2b 29 7b 63 6f 6e 73 74 20 65 3d 67 t;e++){const e=g
00014530: 5b 31 36 2a 28 32 2a 61 2d 31 29 5d 26 72 2d 31 [16*(2*a-1)]&r-1
00014540: 3b 6c 28 62 2c 65 2a 76 2c 67 2c 76 29 2c 69 28 ;l(b,e*v,g,v),i(
00014550: 67 2c 76 2c 61 2c 79 2c 45 29 7d 69 66 28 53 2b g,v,a,y,E)}if(S+
00014560: 3d 74 2c 54 2b 3d 74 2c 66 29 7b 63 6f 6e 73 74 =t,T+=t,f){const
00014570: 20 65 3d 70 61 72 73 65 49 6e 74 28 31 65 33 2a e=parseInt(1e3*
00014580: 54 2f 5f 29 3b 69 66 28 65 21 3d 3d 78 29 7b 69 T/_);if(e!==x){i
00014590: 66 28 41 3d 66 28 6e 75 6c 6c 2c 54 2f 5f 29 2c f(A=f(null,T/_),
000145a0: 41 29 62 72 65 61 6b 3b 78 3d 65 7d 7d 69 66 28 A)break;x=e}}if(
000145b0: 53 3c 72 29 62 72 65 61 6b 3b 69 66 28 63 28 67 S<r)break;if(c(g
000145c0: 2c 30 2c 68 2c 77 2c 76 29 2c 52 2b 2b 2c 52 3c ,0,h,w,v),R++,R<
000145d0: 73 29 7b 43 3d 30 3b 62 72 65 61 6b 7d 6d 3d 5b s){C=0;break}m=[
000145e0: 5d 3b 66 6f 72 28 6c 65 74 20 65 3d 30 3b 65 3c ];for(let e=0;e<
000145f0: 68 2e 6c 65 6e 67 74 68 3b 65 2b 2b 29 6d 2e 70 h.length;e++)m.p
00014600: 75 73 68 28 68 5b 65 5d 3e 3e 30 26 32 35 35 29 ush(h[e]>>0&255)
00014610: 2c 6d 2e 70 75 73 68 28 68 5b 65 5d 3e 3e 38 26 ,m.push(h[e]>>8&
00014620: 32 35 35 29 2c 6d 2e 70 75 73 68 28 68 5b 65 5d 255),m.push(h[e]
00014630: 3e 3e 31 36 26 32 35 35 29 2c 6d 2e 70 75 73 68 >>16&255),m.push
00014640: 28 68 5b 65 5d 3e 3e 32 34 26 32 35 35 29 3b 63 (h[e]>>24&255);c
00014650: 6f 6e 73 74 20 6e 3d 6f 28 65 2c 6d 2c 70 29 3b onst n=o(e,m,p);
00014660: 72 65 74 75 72 6e 20 66 26 26 66 28 6e 75 6c 6c return f&&f(null
00014670: 2c 31 2c 6e 29 2c 6e 7d 66 26 26 4f 28 4e 29 7d ,1,n),n}f&&O(N)}
00014680: 3b 69 66 28 21 66 29 66 6f 72 28 3b 3b 29 7b 63 ;if(!f)for(;;){c
00014690: 6f 6e 73 74 20 65 3d 4e 28 29 3b 69 66 28 6e 75 onst e=N();if(nu
000146a0: 6c 6c 21 3d 65 29 72 65 74 75 72 6e 20 65 7d 4e ll!=e)return e}N
000146b0: 28 29 7d 63 6f 6e 73 74 20 66 3d 7b 73 63 72 79 ()}const f={scry
000146c0: 70 74 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c pt:function(e,t,
000146d0: 6e 2c 72 2c 6f 2c 69 2c 61 29 7b 72 65 74 75 72 n,r,o,i,a){retur
000146e0: 6e 20 6e 65 77 20 50 72 6f 6d 69 73 65 28 28 66 n new Promise((f
000146f0: 75 6e 63 74 69 6f 6e 28 73 2c 6c 29 7b 6c 65 74 unction(s,l){let
00014700: 20 63 3d 30 3b 61 26 26 61 28 30 29 2c 70 28 65 c=0;a&&a(0),p(e
00014710: 2c 74 2c 6e 2c 72 2c 6f 2c 69 2c 28 66 75 6e 63 ,t,n,r,o,i,(func
00014720: 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 69 66 28 65 tion(e,t,n){if(e
00014730: 29 6c 28 65 29 3b 65 6c 73 65 20 69 66 28 6e 29 )l(e);else if(n)
00014740: 61 26 26 31 21 3d 3d 63 26 26 61 28 31 29 2c 73 a&&1!==c&&a(1),s
00014750: 28 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 (new Uint8Array(
00014760: 6e 29 29 3b 65 6c 73 65 20 69 66 28 61 26 26 74 n));else if(a&&t
00014770: 21 3d 3d 63 29 72 65 74 75 72 6e 20 63 3d 74 2c !==c)return c=t,
00014780: 61 28 74 29 7d 29 29 7d 29 29 7d 2c 73 79 6e 63 a(t)}))}))},sync
00014790: 53 63 72 79 70 74 3a 66 75 6e 63 74 69 6f 6e 28 Scrypt:function(
000147a0: 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 29 7b 72 65 74 e,t,n,r,o,i){ret
000147b0: 75 72 6e 20 6e 65 77 20 55 69 6e 74 38 41 72 72 urn new Uint8Arr
000147c0: 61 79 28 70 28 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 ay(p(e,t,n,r,o,i
000147d0: 29 29 7d 7d 3b 65 2e 65 78 70 6f 72 74 73 3d 66 ))}};e.exports=f
000147e0: 7d 28 29 7d 2c 38 35 39 33 37 3a 66 75 6e 63 74 }()},85937:funct
000147f0: 69 6f 6e 28 65 29 7b 65 2e 65 78 70 6f 72 74 73 ion(e){e.exports
00014800: 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 2c =function(e,t,n,
00014810: 72 29 7b 76 61 72 20 6f 3d 6e 3f 6e 2e 63 61 6c r){var o=n?n.cal
00014820: 6c 28 72 2c 65 2c 74 29 3a 76 6f 69 64 20 30 3b l(r,e,t):void 0;
00014830: 69 66 28 76 6f 69 64 20 30 21 3d 3d 6f 29 72 65 if(void 0!==o)re
00014840: 74 75 72 6e 21 21 6f 3b 69 66 28 65 3d 3d 3d 74 turn!!o;if(e===t
00014850: 29 72 65 74 75 72 6e 21 30 3b 69 66 28 22 6f 62 )return!0;if("ob
00014860: 6a 65 63 74 22 21 3d 74 79 70 65 6f 66 20 65 7c ject"!=typeof e|
00014870: 7c 21 65 7c 7c 22 6f 62 6a 65 63 74 22 21 3d 74 |!e||"object"!=t
00014880: 79 70 65 6f 66 20 74 7c 7c 21 74 29 72 65 74 75 ypeof t||!t)retu
00014890: 72 6e 21 31 3b 76 61 72 20 69 3d 4f 62 6a 65 63 rn!1;var i=Objec
000148a0: 74 2e 6b 65 79 73 28 65 29 2c 61 3d 4f 62 6a 65 t.keys(e),a=Obje
000148b0: 63 74 2e 6b 65 79 73 28 74 29 3b 69 66 28 69 2e ct.keys(t);if(i.
000148c0: 6c 65 6e 67 74 68 21 3d 3d 61 2e 6c 65 6e 67 74 length!==a.lengt
000148d0: 68 29 72 65 74 75 72 6e 21 31 3b 66 6f 72 28 76 h)return!1;for(v
000148e0: 61 72 20 73 3d 4f 62 6a 65 63 74 2e 70 72 6f 74 ar s=Object.prot
000148f0: 6f 74 79 70 65 2e 68 61 73 4f 77 6e 50 72 6f 70 otype.hasOwnProp
00014900: 65 72 74 79 2e 62 69 6e 64 28 74 29 2c 6c 3d 30 erty.bind(t),l=0
00014910: 3b 6c 3c 69 2e 6c 65 6e 67 74 68 3b 6c 2b 2b 29 ;l<i.length;l++)
00014920: 7b 76 61 72 20 63 3d 69 5b 6c 5d 3b 69 66 28 21 {var c=i[l];if(!
00014930: 73 28 63 29 29 72 65 74 75 72 6e 21 31 3b 76 61 s(c))return!1;va
00014940: 72 20 75 3d 65 5b 63 5d 2c 64 3d 74 5b 63 5d 3b r u=e[c],d=t[c];
00014950: 69 66 28 21 31 3d 3d 3d 28 6f 3d 6e 3f 6e 2e 63 if(!1===(o=n?n.c
00014960: 61 6c 6c 28 72 2c 75 2c 64 2c 63 29 3a 76 6f 69 all(r,u,d,c):voi
00014970: 64 20 30 29 7c 7c 76 6f 69 64 20 30 3d 3d 3d 6f d 0)||void 0===o
00014980: 26 26 75 21 3d 3d 64 29 72 65 74 75 72 6e 21 31 &&u!==d)return!1
00014990: 7d 72 65 74 75 72 6e 21 30 7d 7d 2c 36 39 33 31 }return!0}},6931
000149a0: 33 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 3:function(e,t,n
000149b0: 29 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b 76 ){"use strict";v
000149c0: 61 72 20 72 3d 6e 28 33 35 33 36 32 29 2c 6f 3d ar r=n(35362),o=
000149d0: 6e 28 32 30 30 32 38 29 2c 69 3d 6e 28 35 31 34 n(20028),i=n(514
000149e0: 38 39 29 2c 61 3d 72 28 22 25 54 79 70 65 45 72 89),a=r("%TypeEr
000149f0: 72 6f 72 25 22 29 2c 73 3d 72 28 22 25 57 65 61 ror%"),s=r("%Wea
00014a00: 6b 4d 61 70 25 22 2c 21 30 29 2c 6c 3d 72 28 22 kMap%",!0),l=r("
00014a10: 25 4d 61 70 25 22 2c 21 30 29 2c 63 3d 6f 28 22 %Map%",!0),c=o("
00014a20: 57 65 61 6b 4d 61 70 2e 70 72 6f 74 6f 74 79 70 WeakMap.prototyp
00014a30: 65 2e 67 65 74 22 2c 21 30 29 2c 75 3d 6f 28 22 e.get",!0),u=o("
00014a40: 57 65 61 6b 4d 61 70 2e 70 72 6f 74 6f 74 79 70 WeakMap.prototyp
00014a50: 65 2e 73 65 74 22 2c 21 30 29 2c 64 3d 6f 28 22 e.set",!0),d=o("
00014a60: 57 65 61 6b 4d 61 70 2e 70 72 6f 74 6f 74 79 70 WeakMap.prototyp
00014a70: 65 2e 68 61 73 22 2c 21 30 29 2c 70 3d 6f 28 22 e.has",!0),p=o("
00014a80: 4d 61 70 2e 70 72 6f 74 6f 74 79 70 65 2e 67 65 Map.prototype.ge
00014a90: 74 22 2c 21 30 29 2c 66 3d 6f 28 22 4d 61 70 2e t",!0),f=o("Map.
00014aa0: 70 72 6f 74 6f 74 79 70 65 2e 73 65 74 22 2c 21 prototype.set",!
00014ab0: 30 29 2c 6d 3d 6f 28 22 4d 61 70 2e 70 72 6f 74 0),m=o("Map.prot
00014ac0: 6f 74 79 70 65 2e 68 61 73 22 2c 21 30 29 2c 68 otype.has",!0),h
00014ad0: 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 66 =function(e,t){f
00014ae0: 6f 72 28 76 61 72 20 6e 2c 72 3d 65 3b 6e 75 6c or(var n,r=e;nul
00014af0: 6c 21 3d 3d 28 6e 3d 72 2e 6e 65 78 74 29 3b 72 l!==(n=r.next);r
00014b00: 3d 6e 29 69 66 28 6e 2e 6b 65 79 3d 3d 3d 74 29 =n)if(n.key===t)
00014b10: 72 65 74 75 72 6e 20 72 2e 6e 65 78 74 3d 6e 2e return r.next=n.
00014b20: 6e 65 78 74 2c 6e 2e 6e 65 78 74 3d 65 2e 6e 65 next,n.next=e.ne
00014b30: 78 74 2c 65 2e 6e 65 78 74 3d 6e 2c 6e 7d 3b 65 xt,e.next=n,n};e
00014b40: 2e 65 78 70 6f 72 74 73 3d 66 75 6e 63 74 69 6f .exports=functio
00014b50: 6e 28 29 7b 76 61 72 20 65 2c 74 2c 6e 2c 72 3d n(){var e,t,n,r=
00014b60: 7b 61 73 73 65 72 74 3a 66 75 6e 63 74 69 6f 6e {assert:function
00014b70: 28 65 29 7b 69 66 28 21 72 2e 68 61 73 28 65 29 (e){if(!r.has(e)
00014b80: 29 74 68 72 6f 77 20 6e 65 77 20 61 28 22 53 69 )throw new a("Si
00014b90: 64 65 20 63 68 61 6e 6e 65 6c 20 64 6f 65 73 20 de channel does
00014ba0: 6e 6f 74 20 63 6f 6e 74 61 69 6e 20 22 2b 69 28 not contain "+i(
00014bb0: 65 29 29 7d 2c 67 65 74 3a 66 75 6e 63 74 69 6f e))},get:functio
00014bc0: 6e 28 72 29 7b 69 66 28 73 26 26 72 26 26 28 22 n(r){if(s&&r&&("
00014bd0: 6f 62 6a 65 63 74 22 3d 3d 74 79 70 65 6f 66 20 object"==typeof
00014be0: 72 7c 7c 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 r||"function"==t
00014bf0: 79 70 65 6f 66 20 72 29 29 7b 69 66 28 65 29 72 ypeof r)){if(e)r
00014c00: 65 74 75 72 6e 20 63 28 65 2c 72 29 7d 65 6c 73 eturn c(e,r)}els
00014c10: 65 20 69 66 28 6c 29 7b 69 66 28 74 29 72 65 74 e if(l){if(t)ret
00014c20: 75 72 6e 20 70 28 74 2c 72 29 7d 65 6c 73 65 20 urn p(t,r)}else
00014c30: 69 66 28 6e 29 72 65 74 75 72 6e 20 66 75 6e 63 if(n)return func
00014c40: 74 69 6f 6e 28 65 2c 74 29 7b 76 61 72 20 6e 3d tion(e,t){var n=
00014c50: 68 28 65 2c 74 29 3b 72 65 74 75 72 6e 20 6e 26 h(e,t);return n&
00014c60: 26 6e 2e 76 61 6c 75 65 7d 28 6e 2c 72 29 7d 2c &n.value}(n,r)},
00014c70: 68 61 73 3a 66 75 6e 63 74 69 6f 6e 28 72 29 7b has:function(r){
00014c80: 69 66 28 73 26 26 72 26 26 28 22 6f 62 6a 65 63 if(s&&r&&("objec
00014c90: 74 22 3d 3d 74 79 70 65 6f 66 20 72 7c 7c 22 66 t"==typeof r||"f
00014ca0: 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 unction"==typeof
00014cb0: 20 72 29 29 7b 69 66 28 65 29 72 65 74 75 72 6e r)){if(e)return
00014cc0: 20 64 28 65 2c 72 29 7d 65 6c 73 65 20 69 66 28 d(e,r)}else if(
00014cd0: 6c 29 7b 69 66 28 74 29 72 65 74 75 72 6e 20 6d l){if(t)return m
00014ce0: 28 74 2c 72 29 7d 65 6c 73 65 20 69 66 28 6e 29 (t,r)}else if(n)
00014cf0: 72 65 74 75 72 6e 20 66 75 6e 63 74 69 6f 6e 28 return function(
00014d00: 65 2c 74 29 7b 72 65 74 75 72 6e 21 21 68 28 65 e,t){return!!h(e
00014d10: 2c 74 29 7d 28 6e 2c 72 29 3b 72 65 74 75 72 6e ,t)}(n,r);return
00014d20: 21 31 7d 2c 73 65 74 3a 66 75 6e 63 74 69 6f 6e !1},set:function
00014d30: 28 72 2c 6f 29 7b 73 26 26 72 26 26 28 22 6f 62 (r,o){s&&r&&("ob
00014d40: 6a 65 63 74 22 3d 3d 74 79 70 65 6f 66 20 72 7c ject"==typeof r|
00014d50: 7c 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 |"function"==typ
00014d60: 65 6f 66 20 72 29 3f 28 65 7c 7c 28 65 3d 6e 65 eof r)?(e||(e=ne
00014d70: 77 20 73 29 2c 75 28 65 2c 72 2c 6f 29 29 3a 6c w s),u(e,r,o)):l
00014d80: 3f 28 74 7c 7c 28 74 3d 6e 65 77 20 6c 29 2c 66 ?(t||(t=new l),f
00014d90: 28 74 2c 72 2c 6f 29 29 3a 28 6e 7c 7c 28 6e 3d (t,r,o)):(n||(n=
00014da0: 7b 6b 65 79 3a 7b 7d 2c 6e 65 78 74 3a 6e 75 6c {key:{},next:nul
00014db0: 6c 7d 29 2c 66 75 6e 63 74 69 6f 6e 28 65 2c 74 l}),function(e,t
00014dc0: 2c 6e 29 7b 76 61 72 20 72 3d 68 28 65 2c 74 29 ,n){var r=h(e,t)
00014dd0: 3b 72 3f 72 2e 76 61 6c 75 65 3d 6e 3a 65 2e 6e ;r?r.value=n:e.n
00014de0: 65 78 74 3d 7b 6b 65 79 3a 74 2c 6e 65 78 74 3a ext={key:t,next:
00014df0: 65 2e 6e 65 78 74 2c 76 61 6c 75 65 3a 6e 7d 7d e.next,value:n}}
00014e00: 28 6e 2c 72 2c 6f 29 29 7d 7d 3b 72 65 74 75 72 (n,r,o))}};retur
00014e10: 6e 20 72 7d 7d 2c 33 36 37 39 30 3a 66 75 6e 63 n r}},36790:func
00014e20: 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 65 tion(e,t,n){"use
00014e30: 20 73 74 72 69 63 74 22 3b 76 61 72 20 72 3d 6e strict";var r=n
00014e40: 28 39 37 39 34 30 29 2c 6f 3d 53 79 6e 74 61 78 (97940),o=Syntax
00014e50: 45 72 72 6f 72 2c 69 3d 22 6f 62 6a 65 63 74 22 Error,i="object"
00014e60: 3d 3d 74 79 70 65 6f 66 20 53 74 6f 70 49 74 65 ==typeof StopIte
00014e70: 72 61 74 69 6f 6e 3f 53 74 6f 70 49 74 65 72 61 ration?StopItera
00014e80: 74 69 6f 6e 3a 6e 75 6c 6c 3b 65 2e 65 78 70 6f tion:null;e.expo
00014e90: 72 74 73 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b rts=function(e){
00014ea0: 69 66 28 21 69 29 74 68 72 6f 77 20 6e 65 77 20 if(!i)throw new
00014eb0: 6f 28 22 74 68 69 73 20 65 6e 76 69 72 6f 6e 6d o("this environm
00014ec0: 65 6e 74 20 6c 61 63 6b 73 20 53 74 6f 70 49 74 ent lacks StopIt
00014ed0: 65 72 61 74 69 6f 6e 22 29 3b 72 2e 73 65 74 28 eration");r.set(
00014ee0: 65 2c 22 5b 5b 44 6f 6e 65 5d 5d 22 2c 21 31 29 e,"[[Done]]",!1)
00014ef0: 3b 76 61 72 20 74 3d 7b 6e 65 78 74 3a 66 75 6e ;var t={next:fun
00014f00: 63 74 69 6f 6e 28 29 7b 76 61 72 20 65 3d 72 2e ction(){var e=r.
00014f10: 67 65 74 28 74 68 69 73 2c 22 5b 5b 49 74 65 72 get(this,"[[Iter
00014f20: 61 74 6f 72 5d 5d 22 29 2c 74 3d 72 2e 67 65 74 ator]]"),t=r.get
00014f30: 28 65 2c 22 5b 5b 44 6f 6e 65 5d 5d 22 29 3b 74 (e,"[[Done]]");t
00014f40: 72 79 7b 72 65 74 75 72 6e 7b 64 6f 6e 65 3a 74 ry{return{done:t
00014f50: 2c 76 61 6c 75 65 3a 74 3f 76 6f 69 64 20 30 3a ,value:t?void 0:
00014f60: 65 2e 6e 65 78 74 28 29 7d 7d 63 61 74 63 68 28 e.next()}}catch(
00014f70: 74 29 7b 69 66 28 72 2e 73 65 74 28 65 2c 22 5b t){if(r.set(e,"[
00014f80: 5b 44 6f 6e 65 5d 5d 22 2c 21 30 29 2c 74 21 3d [Done]]",!0),t!=
00014f90: 3d 69 29 74 68 72 6f 77 20 74 3b 72 65 74 75 72 =i)throw t;retur
00014fa0: 6e 7b 64 6f 6e 65 3a 21 30 2c 76 61 6c 75 65 3a n{done:!0,value:
00014fb0: 76 6f 69 64 20 30 7d 7d 7d 7d 3b 72 65 74 75 72 void 0}}}};retur
00014fc0: 6e 20 72 2e 73 65 74 28 74 2c 22 5b 5b 49 74 65 n r.set(t,"[[Ite
00014fd0: 72 61 74 6f 72 5d 5d 22 2c 65 29 2c 74 7d 7d 2c rator]]",e),t}},
00014fe0: 36 30 36 39 33 3a 66 75 6e 63 74 69 6f 6e 28 65 60693:function(e
00014ff0: 2c 74 2c 6e 29 7b 76 61 72 20 72 3d 6e 28 34 31 ,t,n){var r=n(41
00015000: 35 36 35 29 3b 65 2e 65 78 70 6f 72 74 73 3d 66 565);e.exports=f
00015010: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 76 61 72 unction(e,t){var
00015020: 20 6e 2c 6f 3d 6e 75 6c 6c 3b 69 66 28 21 65 7c n,o=null;if(!e|
00015030: 7c 22 73 74 72 69 6e 67 22 21 3d 74 79 70 65 6f |"string"!=typeo
00015040: 66 20 65 29 72 65 74 75 72 6e 20 6f 3b 66 6f 72 f e)return o;for
00015050: 28 76 61 72 20 69 2c 61 2c 73 3d 72 28 65 29 2c (var i,a,s=r(e),
00015060: 6c 3d 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 l="function"==ty
00015070: 70 65 6f 66 20 74 2c 63 3d 30 2c 75 3d 73 2e 6c peof t,c=0,u=s.l
00015080: 65 6e 67 74 68 3b 63 3c 75 3b 63 2b 2b 29 69 3d ength;c<u;c++)i=
00015090: 28 6e 3d 73 5b 63 5d 29 2e 70 72 6f 70 65 72 74 (n=s[c]).propert
000150a0: 79 2c 61 3d 6e 2e 76 61 6c 75 65 2c 6c 3f 74 28 y,a=n.value,l?t(
000150b0: 69 2c 61 2c 6e 29 3a 61 26 26 28 6f 7c 7c 28 6f i,a,n):a&&(o||(o
000150c0: 3d 7b 7d 29 2c 6f 5b 69 5d 3d 61 29 3b 72 65 74 ={}),o[i]=a);ret
000150d0: 75 72 6e 20 6f 7d 7d 2c 31 34 33 39 3a 66 75 6e urn o}},1439:fun
000150e0: 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 ction(e,t,n){"us
000150f0: 65 20 73 74 72 69 63 74 22 3b 6e 2e 72 28 74 29 e strict";n.r(t)
00015100: 2c 6e 2e 64 28 74 2c 7b 53 65 72 76 65 72 53 74 ,n.d(t,{ServerSt
00015110: 79 6c 65 53 68 65 65 74 3a 66 75 6e 63 74 69 6f yleSheet:functio
00015120: 6e 28 29 7b 72 65 74 75 72 6e 20 7a 65 7d 2c 53 n(){return ze},S
00015130: 74 79 6c 65 53 68 65 65 74 43 6f 6e 73 75 6d 65 tyleSheetConsume
00015140: 72 3a 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 r:function(){ret
00015150: 75 72 6e 20 69 65 7d 2c 53 74 79 6c 65 53 68 65 urn ie},StyleShe
00015160: 65 74 43 6f 6e 74 65 78 74 3a 66 75 6e 63 74 69 etContext:functi
00015170: 6f 6e 28 29 7b 72 65 74 75 72 6e 20 6f 65 7d 2c on(){return oe},
00015180: 53 74 79 6c 65 53 68 65 65 74 4d 61 6e 61 67 65 StyleSheetManage
00015190: 72 3a 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 r:function(){ret
000151a0: 75 72 6e 20 64 65 7d 2c 54 68 65 6d 65 43 6f 6e urn de},ThemeCon
000151b0: 73 75 6d 65 72 3a 66 75 6e 63 74 69 6f 6e 28 29 sumer:function()
000151c0: 7b 72 65 74 75 72 6e 20 4d 65 7d 2c 54 68 65 6d {return Me},Them
000151d0: 65 43 6f 6e 74 65 78 74 3a 66 75 6e 63 74 69 6f eContext:functio
000151e0: 6e 28 29 7b 72 65 74 75 72 6e 20 49 65 7d 2c 54 n(){return Ie},T
000151f0: 68 65 6d 65 50 72 6f 76 69 64 65 72 3a 66 75 6e hemeProvider:fun
00015200: 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 50 ction(){return P
00015210: 65 7d 2c 5f 5f 50 52 49 56 41 54 45 5f 5f 3a 66 e},__PRIVATE__:f
00015220: 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e unction(){return
00015230: 20 56 65 7d 2c 63 72 65 61 74 65 47 6c 6f 62 61 Ve},createGloba
00015240: 6c 53 74 79 6c 65 3a 66 75 6e 63 74 69 6f 6e 28 lStyle:function(
00015250: 29 7b 72 65 74 75 72 6e 20 42 65 7d 2c 63 73 73 ){return Be},css
00015260: 3a 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 :function(){retu
00015270: 72 6e 20 5f 65 7d 2c 64 65 66 61 75 6c 74 3a 66 rn _e},default:f
00015280: 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e unction(){return
00015290: 20 71 65 7d 2c 69 73 53 74 79 6c 65 64 43 6f 6d qe},isStyledCom
000152a0: 70 6f 6e 65 6e 74 3a 66 75 6e 63 74 69 6f 6e 28 ponent:function(
000152b0: 29 7b 72 65 74 75 72 6e 20 5f 7d 2c 6b 65 79 66 ){return _},keyf
000152c0: 72 61 6d 65 73 3a 66 75 6e 63 74 69 6f 6e 28 29 rames:function()
000152d0: 7b 72 65 74 75 72 6e 20 6a 65 7d 2c 75 73 65 54 {return je},useT
000152e0: 68 65 6d 65 3a 66 75 6e 63 74 69 6f 6e 28 29 7b heme:function(){
000152f0: 72 65 74 75 72 6e 20 48 65 7d 2c 76 65 72 73 69 return He},versi
00015300: 6f 6e 3a 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 on:function(){re
00015310: 74 75 72 6e 20 77 7d 2c 77 69 74 68 54 68 65 6d turn w},withThem
00015320: 65 3a 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 e:function(){ret
00015330: 75 72 6e 20 47 65 7d 7d 29 3b 76 61 72 20 72 3d urn Ge}});var r=
00015340: 6e 28 33 38 33 34 32 29 2c 6f 3d 6e 28 36 37 32 n(38342),o=n(672
00015350: 39 34 29 2c 69 3d 6e 28 38 35 39 33 37 29 2c 61 94),i=n(85937),a
00015360: 3d 6e 2e 6e 28 69 29 3b 76 61 72 20 73 3d 66 75 =n.n(i);var s=fu
00015370: 6e 63 74 69 6f 6e 28 65 29 7b 66 75 6e 63 74 69 nction(e){functi
00015380: 6f 6e 20 74 28 65 2c 72 2c 6c 2c 63 2c 70 29 7b on t(e,r,l,c,p){
00015390: 66 6f 72 28 76 61 72 20 66 2c 6d 2c 68 2c 67 2c for(var f,m,h,g,
000153a0: 45 2c 53 3d 30 2c 77 3d 30 2c 54 3d 30 2c 78 3d E,S=0,w=0,T=0,x=
000153b0: 30 2c 41 3d 30 2c 49 3d 30 2c 50 3d 68 3d 66 3d 0,A=0,I=0,P=h=f=
000153c0: 30 2c 4c 3d 30 2c 46 3d 30 2c 55 3d 30 2c 42 3d 0,L=0,F=0,U=0,B=
000153d0: 30 2c 6a 3d 6c 2e 6c 65 6e 67 74 68 2c 7a 3d 6a 0,j=l.length,z=j
000153e0: 2d 31 2c 47 3d 22 22 2c 48 3d 22 22 2c 56 3d 22 -1,G="",H="",V="
000153f0: 22 2c 71 3d 22 22 3b 4c 3c 6a 3b 29 7b 69 66 28 ",q="";L<j;){if(
00015400: 6d 3d 6c 2e 63 68 61 72 43 6f 64 65 41 74 28 4c m=l.charCodeAt(L
00015410: 29 2c 4c 3d 3d 3d 7a 26 26 30 21 3d 3d 77 2b 78 ),L===z&&0!==w+x
00015420: 2b 54 2b 53 26 26 28 30 21 3d 3d 77 26 26 28 6d +T+S&&(0!==w&&(m
00015430: 3d 34 37 3d 3d 3d 77 3f 31 30 3a 34 37 29 2c 78 =47===w?10:47),x
00015440: 3d 54 3d 53 3d 30 2c 6a 2b 2b 2c 7a 2b 2b 29 2c =T=S=0,j++,z++),
00015450: 30 3d 3d 3d 77 2b 78 2b 54 2b 53 29 7b 69 66 28 0===w+x+T+S){if(
00015460: 4c 3d 3d 3d 7a 26 26 28 30 3c 46 26 26 28 47 3d L===z&&(0<F&&(G=
00015470: 47 2e 72 65 70 6c 61 63 65 28 64 2c 22 22 29 29 G.replace(d,""))
00015480: 2c 30 3c 47 2e 74 72 69 6d 28 29 2e 6c 65 6e 67 ,0<G.trim().leng
00015490: 74 68 29 29 7b 73 77 69 74 63 68 28 6d 29 7b 63 th)){switch(m){c
000154a0: 61 73 65 20 33 32 3a 63 61 73 65 20 39 3a 63 61 ase 32:case 9:ca
000154b0: 73 65 20 35 39 3a 63 61 73 65 20 31 33 3a 63 61 se 59:case 13:ca
000154c0: 73 65 20 31 30 3a 62 72 65 61 6b 3b 64 65 66 61 se 10:break;defa
000154d0: 75 6c 74 3a 47 2b 3d 6c 2e 63 68 61 72 41 74 28 ult:G+=l.charAt(
000154e0: 4c 29 7d 6d 3d 35 39 7d 73 77 69 74 63 68 28 6d L)}m=59}switch(m
000154f0: 29 7b 63 61 73 65 20 31 32 33 3a 66 6f 72 28 66 ){case 123:for(f
00015500: 3d 28 47 3d 47 2e 74 72 69 6d 28 29 29 2e 63 68 =(G=G.trim()).ch
00015510: 61 72 43 6f 64 65 41 74 28 30 29 2c 68 3d 31 2c arCodeAt(0),h=1,
00015520: 42 3d 2b 2b 4c 3b 4c 3c 6a 3b 29 7b 73 77 69 74 B=++L;L<j;){swit
00015530: 63 68 28 6d 3d 6c 2e 63 68 61 72 43 6f 64 65 41 ch(m=l.charCodeA
00015540: 74 28 4c 29 29 7b 63 61 73 65 20 31 32 33 3a 68 t(L)){case 123:h
00015550: 2b 2b 3b 62 72 65 61 6b 3b 63 61 73 65 20 31 32 ++;break;case 12
00015560: 35 3a 68 2d 2d 3b 62 72 65 61 6b 3b 63 61 73 65 5:h--;break;case
00015570: 20 34 37 3a 73 77 69 74 63 68 28 6d 3d 6c 2e 63 47:switch(m=l.c
00015580: 68 61 72 43 6f 64 65 41 74 28 4c 2b 31 29 29 7b harCodeAt(L+1)){
00015590: 63 61 73 65 20 34 32 3a 63 61 73 65 20 34 37 3a case 42:case 47:
000155a0: 65 3a 7b 66 6f 72 28 50 3d 4c 2b 31 3b 50 3c 7a e:{for(P=L+1;P<z
000155b0: 3b 2b 2b 50 29 73 77 69 74 63 68 28 6c 2e 63 68 ;++P)switch(l.ch
000155c0: 61 72 43 6f 64 65 41 74 28 50 29 29 7b 63 61 73 arCodeAt(P)){cas
000155d0: 65 20 34 37 3a 69 66 28 34 32 3d 3d 3d 6d 26 26 e 47:if(42===m&&
000155e0: 34 32 3d 3d 3d 6c 2e 63 68 61 72 43 6f 64 65 41 42===l.charCodeA
000155f0: 74 28 50 2d 31 29 26 26 4c 2b 32 21 3d 3d 50 29 t(P-1)&&L+2!==P)
00015600: 7b 4c 3d 50 2b 31 3b 62 72 65 61 6b 20 65 7d 62 {L=P+1;break e}b
00015610: 72 65 61 6b 3b 63 61 73 65 20 31 30 3a 69 66 28 reak;case 10:if(
00015620: 34 37 3d 3d 3d 6d 29 7b 4c 3d 50 2b 31 3b 62 72 47===m){L=P+1;br
00015630: 65 61 6b 20 65 7d 7d 4c 3d 50 7d 7d 62 72 65 61 eak e}}L=P}}brea
00015640: 6b 3b 63 61 73 65 20 39 31 3a 6d 2b 2b 3b 63 61 k;case 91:m++;ca
00015650: 73 65 20 34 30 3a 6d 2b 2b 3b 63 61 73 65 20 33 se 40:m++;case 3
00015660: 34 3a 63 61 73 65 20 33 39 3a 66 6f 72 28 3b 4c 4:case 39:for(;L
00015670: 2b 2b 3c 7a 26 26 6c 2e 63 68 61 72 43 6f 64 65 ++<z&&l.charCode
00015680: 41 74 28 4c 29 21 3d 3d 6d 3b 29 3b 7d 69 66 28 At(L)!==m;);}if(
00015690: 30 3d 3d 3d 68 29 62 72 65 61 6b 3b 4c 2b 2b 7d 0===h)break;L++}
000156a0: 69 66 28 68 3d 6c 2e 73 75 62 73 74 72 69 6e 67 if(h=l.substring
000156b0: 28 42 2c 4c 29 2c 30 3d 3d 3d 66 26 26 28 66 3d (B,L),0===f&&(f=
000156c0: 28 47 3d 47 2e 72 65 70 6c 61 63 65 28 75 2c 22 (G=G.replace(u,"
000156d0: 22 29 2e 74 72 69 6d 28 29 29 2e 63 68 61 72 43 ").trim()).charC
000156e0: 6f 64 65 41 74 28 30 29 29 2c 36 34 3d 3d 3d 66 odeAt(0)),64===f
000156f0: 29 7b 73 77 69 74 63 68 28 30 3c 46 26 26 28 47 ){switch(0<F&&(G
00015700: 3d 47 2e 72 65 70 6c 61 63 65 28 64 2c 22 22 29 =G.replace(d,"")
00015710: 29 2c 6d 3d 47 2e 63 68 61 72 43 6f 64 65 41 74 ),m=G.charCodeAt
00015720: 28 31 29 29 7b 63 61 73 65 20 31 30 30 3a 63 61 (1)){case 100:ca
00015730: 73 65 20 31 30 39 3a 63 61 73 65 20 31 31 35 3a se 109:case 115:
00015740: 63 61 73 65 20 34 35 3a 46 3d 72 3b 62 72 65 61 case 45:F=r;brea
00015750: 6b 3b 64 65 66 61 75 6c 74 3a 46 3d 4e 7d 69 66 k;default:F=N}if
00015760: 28 42 3d 28 68 3d 74 28 72 2c 46 2c 68 2c 6d 2c (B=(h=t(r,F,h,m,
00015770: 70 2b 31 29 29 2e 6c 65 6e 67 74 68 2c 30 3c 4d p+1)).length,0<M
00015780: 26 26 28 45 3d 73 28 33 2c 68 2c 46 3d 6e 28 4e &&(E=s(3,h,F=n(N
00015790: 2c 47 2c 55 29 2c 72 2c 52 2c 43 2c 42 2c 6d 2c ,G,U),r,R,C,B,m,
000157a0: 70 2c 63 29 2c 47 3d 46 2e 6a 6f 69 6e 28 22 22 p,c),G=F.join(""
000157b0: 29 2c 76 6f 69 64 20 30 21 3d 3d 45 26 26 30 3d ),void 0!==E&&0=
000157c0: 3d 3d 28 42 3d 28 68 3d 45 2e 74 72 69 6d 28 29 ==(B=(h=E.trim()
000157d0: 29 2e 6c 65 6e 67 74 68 29 26 26 28 6d 3d 30 2c ).length)&&(m=0,
000157e0: 68 3d 22 22 29 29 2c 30 3c 42 29 73 77 69 74 63 h="")),0<B)switc
000157f0: 68 28 6d 29 7b 63 61 73 65 20 31 31 35 3a 47 3d h(m){case 115:G=
00015800: 47 2e 72 65 70 6c 61 63 65 28 5f 2c 61 29 3b 63 G.replace(_,a);c
00015810: 61 73 65 20 31 30 30 3a 63 61 73 65 20 31 30 39 ase 100:case 109
00015820: 3a 63 61 73 65 20 34 35 3a 68 3d 47 2b 22 7b 22 :case 45:h=G+"{"
00015830: 2b 68 2b 22 7d 22 3b 62 72 65 61 6b 3b 63 61 73 +h+"}";break;cas
00015840: 65 20 31 30 37 3a 68 3d 28 47 3d 47 2e 72 65 70 e 107:h=(G=G.rep
00015850: 6c 61 63 65 28 62 2c 22 24 31 20 24 32 22 29 29 lace(b,"$1 $2"))
00015860: 2b 22 7b 22 2b 68 2b 22 7d 22 2c 68 3d 31 3d 3d +"{"+h+"}",h=1==
00015870: 3d 4f 7c 7c 32 3d 3d 3d 4f 26 26 69 28 22 40 22 =O||2===O&&i("@"
00015880: 2b 68 2c 33 29 3f 22 40 2d 77 65 62 6b 69 74 2d +h,3)?"@-webkit-
00015890: 22 2b 68 2b 22 40 22 2b 68 3a 22 40 22 2b 68 3b "+h+"@"+h:"@"+h;
000158a0: 62 72 65 61 6b 3b 64 65 66 61 75 6c 74 3a 68 3d break;default:h=
000158b0: 47 2b 68 2c 31 31 32 3d 3d 3d 63 26 26 28 48 2b G+h,112===c&&(H+
000158c0: 3d 68 2c 68 3d 22 22 29 7d 65 6c 73 65 20 68 3d =h,h="")}else h=
000158d0: 22 22 7d 65 6c 73 65 20 68 3d 74 28 72 2c 6e 28 ""}else h=t(r,n(
000158e0: 72 2c 47 2c 55 29 2c 68 2c 63 2c 70 2b 31 29 3b r,G,U),h,c,p+1);
000158f0: 56 2b 3d 68 2c 68 3d 55 3d 46 3d 50 3d 66 3d 30 V+=h,h=U=F=P=f=0
00015900: 2c 47 3d 22 22 2c 6d 3d 6c 2e 63 68 61 72 43 6f ,G="",m=l.charCo
00015910: 64 65 41 74 28 2b 2b 4c 29 3b 62 72 65 61 6b 3b deAt(++L);break;
00015920: 63 61 73 65 20 31 32 35 3a 63 61 73 65 20 35 39 case 125:case 59
00015930: 3a 69 66 28 31 3c 28 42 3d 28 47 3d 28 30 3c 46 :if(1<(B=(G=(0<F
00015940: 3f 47 2e 72 65 70 6c 61 63 65 28 64 2c 22 22 29 ?G.replace(d,"")
00015950: 3a 47 29 2e 74 72 69 6d 28 29 29 2e 6c 65 6e 67 :G).trim()).leng
00015960: 74 68 29 29 73 77 69 74 63 68 28 30 3d 3d 3d 50 th))switch(0===P
00015970: 26 26 28 66 3d 47 2e 63 68 61 72 43 6f 64 65 41 &&(f=G.charCodeA
00015980: 74 28 30 29 2c 34 35 3d 3d 3d 66 7c 7c 39 36 3c t(0),45===f||96<
00015990: 66 26 26 31 32 33 3e 66 29 26 26 28 42 3d 28 47 f&&123>f)&&(B=(G
000159a0: 3d 47 2e 72 65 70 6c 61 63 65 28 22 20 22 2c 22 =G.replace(" ","
000159b0: 3a 22 29 29 2e 6c 65 6e 67 74 68 29 2c 30 3c 4d :")).length),0<M
000159c0: 26 26 76 6f 69 64 20 30 21 3d 3d 28 45 3d 73 28 &&void 0!==(E=s(
000159d0: 31 2c 47 2c 72 2c 65 2c 52 2c 43 2c 48 2e 6c 65 1,G,r,e,R,C,H.le
000159e0: 6e 67 74 68 2c 63 2c 70 2c 63 29 29 26 26 30 3d ngth,c,p,c))&&0=
000159f0: 3d 3d 28 42 3d 28 47 3d 45 2e 74 72 69 6d 28 29 ==(B=(G=E.trim()
00015a00: 29 2e 6c 65 6e 67 74 68 29 26 26 28 47 3d 22 5c ).length)&&(G="\
00015a10: 30 5c 30 22 29 2c 66 3d 47 2e 63 68 61 72 43 6f 0\0"),f=G.charCo
00015a20: 64 65 41 74 28 30 29 2c 6d 3d 47 2e 63 68 61 72 deAt(0),m=G.char
00015a30: 43 6f 64 65 41 74 28 31 29 2c 66 29 7b 63 61 73 CodeAt(1),f){cas
00015a40: 65 20 30 3a 62 72 65 61 6b 3b 63 61 73 65 20 36 e 0:break;case 6
00015a50: 34 3a 69 66 28 31 30 35 3d 3d 3d 6d 7c 7c 39 39 4:if(105===m||99
00015a60: 3d 3d 3d 6d 29 7b 71 2b 3d 47 2b 6c 2e 63 68 61 ===m){q+=G+l.cha
00015a70: 72 41 74 28 4c 29 3b 62 72 65 61 6b 7d 64 65 66 rAt(L);break}def
00015a80: 61 75 6c 74 3a 35 38 21 3d 3d 47 2e 63 68 61 72 ault:58!==G.char
00015a90: 43 6f 64 65 41 74 28 42 2d 31 29 26 26 28 48 2b CodeAt(B-1)&&(H+
00015aa0: 3d 6f 28 47 2c 66 2c 6d 2c 47 2e 63 68 61 72 43 =o(G,f,m,G.charC
00015ab0: 6f 64 65 41 74 28 32 29 29 29 7d 55 3d 46 3d 50 odeAt(2)))}U=F=P
00015ac0: 3d 66 3d 30 2c 47 3d 22 22 2c 6d 3d 6c 2e 63 68 =f=0,G="",m=l.ch
00015ad0: 61 72 43 6f 64 65 41 74 28 2b 2b 4c 29 7d 7d 73 arCodeAt(++L)}}s
00015ae0: 77 69 74 63 68 28 6d 29 7b 63 61 73 65 20 31 33 witch(m){case 13
00015af0: 3a 63 61 73 65 20 31 30 3a 34 37 3d 3d 3d 77 3f :case 10:47===w?
00015b00: 77 3d 30 3a 30 3d 3d 3d 31 2b 66 26 26 31 30 37 w=0:0===1+f&&107
00015b10: 21 3d 3d 63 26 26 30 3c 47 2e 6c 65 6e 67 74 68 !==c&&0<G.length
00015b20: 26 26 28 46 3d 31 2c 47 2b 3d 22 5c 30 22 29 2c &&(F=1,G+="\0"),
00015b30: 30 3c 4d 2a 44 26 26 73 28 30 2c 47 2c 72 2c 65 0<M*D&&s(0,G,r,e
00015b40: 2c 52 2c 43 2c 48 2e 6c 65 6e 67 74 68 2c 63 2c ,R,C,H.length,c,
00015b50: 70 2c 63 29 2c 43 3d 31 2c 52 2b 2b 3b 62 72 65 p,c),C=1,R++;bre
00015b60: 61 6b 3b 63 61 73 65 20 35 39 3a 63 61 73 65 20 ak;case 59:case
00015b70: 31 32 35 3a 69 66 28 30 3d 3d 3d 77 2b 78 2b 54 125:if(0===w+x+T
00015b80: 2b 53 29 7b 43 2b 2b 3b 62 72 65 61 6b 7d 64 65 +S){C++;break}de
00015b90: 66 61 75 6c 74 3a 73 77 69 74 63 68 28 43 2b 2b fault:switch(C++
00015ba0: 2c 67 3d 6c 2e 63 68 61 72 41 74 28 4c 29 2c 6d ,g=l.charAt(L),m
00015bb0: 29 7b 63 61 73 65 20 39 3a 63 61 73 65 20 33 32 ){case 9:case 32
00015bc0: 3a 69 66 28 30 3d 3d 3d 78 2b 53 2b 77 29 73 77 :if(0===x+S+w)sw
00015bd0: 69 74 63 68 28 41 29 7b 63 61 73 65 20 34 34 3a itch(A){case 44:
00015be0: 63 61 73 65 20 35 38 3a 63 61 73 65 20 39 3a 63 case 58:case 9:c
00015bf0: 61 73 65 20 33 32 3a 67 3d 22 22 3b 62 72 65 61 ase 32:g="";brea
00015c00: 6b 3b 64 65 66 61 75 6c 74 3a 33 32 21 3d 3d 6d k;default:32!==m
00015c10: 26 26 28 67 3d 22 20 22 29 7d 62 72 65 61 6b 3b &&(g=" ")}break;
00015c20: 63 61 73 65 20 30 3a 67 3d 22 5c 5c 30 22 3b 62 case 0:g="\\0";b
00015c30: 72 65 61 6b 3b 63 61 73 65 20 31 32 3a 67 3d 22 reak;case 12:g="
00015c40: 5c 5c 66 22 3b 62 72 65 61 6b 3b 63 61 73 65 20 \\f";break;case
00015c50: 31 31 3a 67 3d 22 5c 5c 76 22 3b 62 72 65 61 6b 11:g="\\v";break
00015c60: 3b 63 61 73 65 20 33 38 3a 30 3d 3d 3d 78 2b 77 ;case 38:0===x+w
00015c70: 2b 53 26 26 28 46 3d 55 3d 31 2c 67 3d 22 5c 66 +S&&(F=U=1,g="\f
00015c80: 22 2b 67 29 3b 62 72 65 61 6b 3b 63 61 73 65 20 "+g);break;case
00015c90: 31 30 38 3a 69 66 28 30 3d 3d 3d 78 2b 77 2b 53 108:if(0===x+w+S
00015ca0: 2b 6b 26 26 30 3c 50 29 73 77 69 74 63 68 28 4c +k&&0<P)switch(L
00015cb0: 2d 50 29 7b 63 61 73 65 20 32 3a 31 31 32 3d 3d -P){case 2:112==
00015cc0: 3d 41 26 26 35 38 3d 3d 3d 6c 2e 63 68 61 72 43 =A&&58===l.charC
00015cd0: 6f 64 65 41 74 28 4c 2d 33 29 26 26 28 6b 3d 41 odeAt(L-3)&&(k=A
00015ce0: 29 3b 63 61 73 65 20 38 3a 31 31 31 3d 3d 3d 49 );case 8:111===I
00015cf0: 26 26 28 6b 3d 49 29 7d 62 72 65 61 6b 3b 63 61 &&(k=I)}break;ca
00015d00: 73 65 20 35 38 3a 30 3d 3d 3d 78 2b 77 2b 53 26 se 58:0===x+w+S&
00015d10: 26 28 50 3d 4c 29 3b 62 72 65 61 6b 3b 63 61 73 &(P=L);break;cas
00015d20: 65 20 34 34 3a 30 3d 3d 3d 77 2b 54 2b 78 2b 53 e 44:0===w+T+x+S
00015d30: 26 26 28 46 3d 31 2c 67 2b 3d 22 5c 72 22 29 3b &&(F=1,g+="\r");
00015d40: 62 72 65 61 6b 3b 63 61 73 65 20 33 34 3a 63 61 break;case 34:ca
00015d50: 73 65 20 33 39 3a 30 3d 3d 3d 77 26 26 28 78 3d se 39:0===w&&(x=
00015d60: 78 3d 3d 3d 6d 3f 30 3a 30 3d 3d 3d 78 3f 6d 3a x===m?0:0===x?m:
00015d70: 78 29 3b 62 72 65 61 6b 3b 63 61 73 65 20 39 31 x);break;case 91
00015d80: 3a 30 3d 3d 3d 78 2b 77 2b 54 26 26 53 2b 2b 3b :0===x+w+T&&S++;
00015d90: 62 72 65 61 6b 3b 63 61 73 65 20 39 33 3a 30 3d break;case 93:0=
00015da0: 3d 3d 78 2b 77 2b 54 26 26 53 2d 2d 3b 62 72 65 ==x+w+T&&S--;bre
00015db0: 61 6b 3b 63 61 73 65 20 34 31 3a 30 3d 3d 3d 78 ak;case 41:0===x
00015dc0: 2b 77 2b 53 26 26 54 2d 2d 3b 62 72 65 61 6b 3b +w+S&&T--;break;
00015dd0: 63 61 73 65 20 34 30 3a 69 66 28 30 3d 3d 3d 78 case 40:if(0===x
00015de0: 2b 77 2b 53 29 7b 69 66 28 30 3d 3d 3d 66 29 69 +w+S){if(0===f)i
00015df0: 66 28 32 2a 41 2b 33 2a 49 3d 3d 35 33 33 29 3b f(2*A+3*I==533);
00015e00: 65 6c 73 65 20 66 3d 31 3b 54 2b 2b 7d 62 72 65 else f=1;T++}bre
00015e10: 61 6b 3b 63 61 73 65 20 36 34 3a 30 3d 3d 3d 77 ak;case 64:0===w
00015e20: 2b 54 2b 78 2b 53 2b 50 2b 68 26 26 28 68 3d 31 +T+x+S+P+h&&(h=1
00015e30: 29 3b 62 72 65 61 6b 3b 63 61 73 65 20 34 32 3a );break;case 42:
00015e40: 63 61 73 65 20 34 37 3a 69 66 28 21 28 30 3c 78 case 47:if(!(0<x
00015e50: 2b 53 2b 54 29 29 73 77 69 74 63 68 28 77 29 7b +S+T))switch(w){
00015e60: 63 61 73 65 20 30 3a 73 77 69 74 63 68 28 32 2a case 0:switch(2*
00015e70: 6d 2b 33 2a 6c 2e 63 68 61 72 43 6f 64 65 41 74 m+3*l.charCodeAt
00015e80: 28 4c 2b 31 29 29 7b 63 61 73 65 20 32 33 35 3a (L+1)){case 235:
00015e90: 77 3d 34 37 3b 62 72 65 61 6b 3b 63 61 73 65 20 w=47;break;case
00015ea0: 32 32 30 3a 42 3d 4c 2c 77 3d 34 32 7d 62 72 65 220:B=L,w=42}bre
00015eb0: 61 6b 3b 63 61 73 65 20 34 32 3a 34 37 3d 3d 3d ak;case 42:47===
00015ec0: 6d 26 26 34 32 3d 3d 3d 41 26 26 42 2b 32 21 3d m&&42===A&&B+2!=
00015ed0: 3d 4c 26 26 28 33 33 3d 3d 3d 6c 2e 63 68 61 72 =L&&(33===l.char
00015ee0: 43 6f 64 65 41 74 28 42 2b 32 29 26 26 28 48 2b CodeAt(B+2)&&(H+
00015ef0: 3d 6c 2e 73 75 62 73 74 72 69 6e 67 28 42 2c 4c =l.substring(B,L
00015f00: 2b 31 29 29 2c 67 3d 22 22 2c 77 3d 30 29 7d 7d +1)),g="",w=0)}}
00015f10: 30 3d 3d 3d 77 26 26 28 47 2b 3d 67 29 7d 49 3d 0===w&&(G+=g)}I=
00015f20: 41 2c 41 3d 6d 2c 4c 2b 2b 7d 69 66 28 30 3c 28 A,A=m,L++}if(0<(
00015f30: 42 3d 48 2e 6c 65 6e 67 74 68 29 29 7b 69 66 28 B=H.length)){if(
00015f40: 46 3d 72 2c 30 3c 4d 26 26 28 76 6f 69 64 20 30 F=r,0<M&&(void 0
00015f50: 21 3d 3d 28 45 3d 73 28 32 2c 48 2c 46 2c 65 2c !==(E=s(2,H,F,e,
00015f60: 52 2c 43 2c 42 2c 63 2c 70 2c 63 29 29 26 26 30 R,C,B,c,p,c))&&0
00015f70: 3d 3d 3d 28 48 3d 45 29 2e 6c 65 6e 67 74 68 29 ===(H=E).length)
00015f80: 29 72 65 74 75 72 6e 20 71 2b 48 2b 56 3b 69 66 )return q+H+V;if
00015f90: 28 48 3d 46 2e 6a 6f 69 6e 28 22 2c 22 29 2b 22 (H=F.join(",")+"
00015fa0: 7b 22 2b 48 2b 22 7d 22 2c 30 21 3d 4f 2a 6b 29 {"+H+"}",0!=O*k)
00015fb0: 7b 73 77 69 74 63 68 28 32 21 3d 3d 4f 7c 7c 69 {switch(2!==O||i
00015fc0: 28 48 2c 32 29 7c 7c 28 6b 3d 30 29 2c 6b 29 7b (H,2)||(k=0),k){
00015fd0: 63 61 73 65 20 31 31 31 3a 48 3d 48 2e 72 65 70 case 111:H=H.rep
00015fe0: 6c 61 63 65 28 79 2c 22 3a 2d 6d 6f 7a 2d 24 31 lace(y,":-moz-$1
00015ff0: 22 29 2b 48 3b 62 72 65 61 6b 3b 63 61 73 65 20 ")+H;break;case
00016000: 31 31 32 3a 48 3d 48 2e 72 65 70 6c 61 63 65 28 112:H=H.replace(
00016010: 76 2c 22 3a 3a 2d 77 65 62 6b 69 74 2d 69 6e 70 v,"::-webkit-inp
00016020: 75 74 2d 24 31 22 29 2b 48 2e 72 65 70 6c 61 63 ut-$1")+H.replac
00016030: 65 28 76 2c 22 3a 3a 2d 6d 6f 7a 2d 24 31 22 29 e(v,"::-moz-$1")
00016040: 2b 48 2e 72 65 70 6c 61 63 65 28 76 2c 22 3a 2d +H.replace(v,":-
00016050: 6d 73 2d 69 6e 70 75 74 2d 24 31 22 29 2b 48 7d ms-input-$1")+H}
00016060: 6b 3d 30 7d 7d 72 65 74 75 72 6e 20 71 2b 48 2b k=0}}return q+H+
00016070: 56 7d 66 75 6e 63 74 69 6f 6e 20 6e 28 65 2c 74 V}function n(e,t
00016080: 2c 6e 29 7b 76 61 72 20 6f 3d 74 2e 74 72 69 6d ,n){var o=t.trim
00016090: 28 29 2e 73 70 6c 69 74 28 68 29 3b 74 3d 6f 3b ().split(h);t=o;
000160a0: 76 61 72 20 69 3d 6f 2e 6c 65 6e 67 74 68 2c 61 var i=o.length,a
000160b0: 3d 65 2e 6c 65 6e 67 74 68 3b 73 77 69 74 63 68 =e.length;switch
000160c0: 28 61 29 7b 63 61 73 65 20 30 3a 63 61 73 65 20 (a){case 0:case
000160d0: 31 3a 76 61 72 20 73 3d 30 3b 66 6f 72 28 65 3d 1:var s=0;for(e=
000160e0: 30 3d 3d 3d 61 3f 22 22 3a 65 5b 30 5d 2b 22 20 0===a?"":e[0]+"
000160f0: 22 3b 73 3c 69 3b 2b 2b 73 29 74 5b 73 5d 3d 72 ";s<i;++s)t[s]=r
00016100: 28 65 2c 74 5b 73 5d 2c 6e 29 2e 74 72 69 6d 28 (e,t[s],n).trim(
00016110: 29 3b 62 72 65 61 6b 3b 64 65 66 61 75 6c 74 3a );break;default:
00016120: 76 61 72 20 6c 3d 73 3d 30 3b 66 6f 72 28 74 3d var l=s=0;for(t=
00016130: 5b 5d 3b 73 3c 69 3b 2b 2b 73 29 66 6f 72 28 76 [];s<i;++s)for(v
00016140: 61 72 20 63 3d 30 3b 63 3c 61 3b 2b 2b 63 29 74 ar c=0;c<a;++c)t
00016150: 5b 6c 2b 2b 5d 3d 72 28 65 5b 63 5d 2b 22 20 22 [l++]=r(e[c]+" "
00016160: 2c 6f 5b 73 5d 2c 6e 29 2e 74 72 69 6d 28 29 7d ,o[s],n).trim()}
00016170: 72 65 74 75 72 6e 20 74 7d 66 75 6e 63 74 69 6f return t}functio
00016180: 6e 20 72 28 65 2c 74 2c 6e 29 7b 76 61 72 20 72 n r(e,t,n){var r
00016190: 3d 74 2e 63 68 61 72 43 6f 64 65 41 74 28 30 29 =t.charCodeAt(0)
000161a0: 3b 73 77 69 74 63 68 28 33 33 3e 72 26 26 28 72 ;switch(33>r&&(r
000161b0: 3d 28 74 3d 74 2e 74 72 69 6d 28 29 29 2e 63 68 =(t=t.trim()).ch
000161c0: 61 72 43 6f 64 65 41 74 28 30 29 29 2c 72 29 7b arCodeAt(0)),r){
000161d0: 63 61 73 65 20 33 38 3a 72 65 74 75 72 6e 20 74 case 38:return t
000161e0: 2e 72 65 70 6c 61 63 65 28 67 2c 22 24 31 22 2b .replace(g,"$1"+
000161f0: 65 2e 74 72 69 6d 28 29 29 3b 63 61 73 65 20 35 e.trim());case 5
00016200: 38 3a 72 65 74 75 72 6e 20 65 2e 74 72 69 6d 28 8:return e.trim(
00016210: 29 2b 74 2e 72 65 70 6c 61 63 65 28 67 2c 22 24 )+t.replace(g,"$
00016220: 31 22 2b 65 2e 74 72 69 6d 28 29 29 3b 64 65 66 1"+e.trim());def
00016230: 61 75 6c 74 3a 69 66 28 30 3c 31 2a 6e 26 26 30 ault:if(0<1*n&&0
00016240: 3c 74 2e 69 6e 64 65 78 4f 66 28 22 5c 66 22 29 <t.indexOf("\f")
00016250: 29 72 65 74 75 72 6e 20 74 2e 72 65 70 6c 61 63 )return t.replac
00016260: 65 28 67 2c 28 35 38 3d 3d 3d 65 2e 63 68 61 72 e(g,(58===e.char
00016270: 43 6f 64 65 41 74 28 30 29 3f 22 22 3a 22 24 31 CodeAt(0)?"":"$1
00016280: 22 29 2b 65 2e 74 72 69 6d 28 29 29 7d 72 65 74 ")+e.trim())}ret
00016290: 75 72 6e 20 65 2b 74 7d 66 75 6e 63 74 69 6f 6e urn e+t}function
000162a0: 20 6f 28 65 2c 74 2c 6e 2c 72 29 7b 76 61 72 20 o(e,t,n,r){var
000162b0: 61 3d 65 2b 22 3b 22 2c 73 3d 32 2a 74 2b 33 2a a=e+";",s=2*t+3*
000162c0: 6e 2b 34 2a 72 3b 69 66 28 39 34 34 3d 3d 3d 73 n+4*r;if(944===s
000162d0: 29 7b 65 3d 61 2e 69 6e 64 65 78 4f 66 28 22 3a ){e=a.indexOf(":
000162e0: 22 2c 39 29 2b 31 3b 76 61 72 20 6c 3d 61 2e 73 ",9)+1;var l=a.s
000162f0: 75 62 73 74 72 69 6e 67 28 65 2c 61 2e 6c 65 6e ubstring(e,a.len
00016300: 67 74 68 2d 31 29 2e 74 72 69 6d 28 29 3b 72 65 gth-1).trim();re
00016310: 74 75 72 6e 20 6c 3d 61 2e 73 75 62 73 74 72 69 turn l=a.substri
00016320: 6e 67 28 30 2c 65 29 2e 74 72 69 6d 28 29 2b 6c ng(0,e).trim()+l
00016330: 2b 22 3b 22 2c 31 3d 3d 3d 4f 7c 7c 32 3d 3d 3d +";",1===O||2===
00016340: 4f 26 26 69 28 6c 2c 31 29 3f 22 2d 77 65 62 6b O&&i(l,1)?"-webk
00016350: 69 74 2d 22 2b 6c 2b 6c 3a 6c 7d 69 66 28 30 3d it-"+l+l:l}if(0=
00016360: 3d 3d 4f 7c 7c 32 3d 3d 3d 4f 26 26 21 69 28 61 ==O||2===O&&!i(a
00016370: 2c 31 29 29 72 65 74 75 72 6e 20 61 3b 73 77 69 ,1))return a;swi
00016380: 74 63 68 28 73 29 7b 63 61 73 65 20 31 30 31 35 tch(s){case 1015
00016390: 3a 72 65 74 75 72 6e 20 39 37 3d 3d 3d 61 2e 63 :return 97===a.c
000163a0: 68 61 72 43 6f 64 65 41 74 28 31 30 29 3f 22 2d harCodeAt(10)?"-
000163b0: 77 65 62 6b 69 74 2d 22 2b 61 2b 61 3a 61 3b 63 webkit-"+a+a:a;c
000163c0: 61 73 65 20 39 35 31 3a 72 65 74 75 72 6e 20 31 ase 951:return 1
000163d0: 31 36 3d 3d 3d 61 2e 63 68 61 72 43 6f 64 65 41 16===a.charCodeA
000163e0: 74 28 33 29 3f 22 2d 77 65 62 6b 69 74 2d 22 2b t(3)?"-webkit-"+
000163f0: 61 2b 61 3a 61 3b 63 61 73 65 20 39 36 33 3a 72 a+a:a;case 963:r
00016400: 65 74 75 72 6e 20 31 31 30 3d 3d 3d 61 2e 63 68 eturn 110===a.ch
00016410: 61 72 43 6f 64 65 41 74 28 35 29 3f 22 2d 77 65 arCodeAt(5)?"-we
00016420: 62 6b 69 74 2d 22 2b 61 2b 61 3a 61 3b 63 61 73 bkit-"+a+a:a;cas
00016430: 65 20 31 30 30 39 3a 69 66 28 31 30 30 21 3d 3d e 1009:if(100!==
00016440: 61 2e 63 68 61 72 43 6f 64 65 41 74 28 34 29 29 a.charCodeAt(4))
00016450: 62 72 65 61 6b 3b 63 61 73 65 20 39 36 39 3a 63 break;case 969:c
00016460: 61 73 65 20 39 34 32 3a 72 65 74 75 72 6e 22 2d ase 942:return"-
00016470: 77 65 62 6b 69 74 2d 22 2b 61 2b 61 3b 63 61 73 webkit-"+a+a;cas
00016480: 65 20 39 37 38 3a 72 65 74 75 72 6e 22 2d 77 65 e 978:return"-we
00016490: 62 6b 69 74 2d 22 2b 61 2b 22 2d 6d 6f 7a 2d 22 bkit-"+a+"-moz-"
000164a0: 2b 61 2b 61 3b 63 61 73 65 20 31 30 31 39 3a 63 +a+a;case 1019:c
000164b0: 61 73 65 20 39 38 33 3a 72 65 74 75 72 6e 22 2d ase 983:return"-
000164c0: 77 65 62 6b 69 74 2d 22 2b 61 2b 22 2d 6d 6f 7a webkit-"+a+"-moz
000164d0: 2d 22 2b 61 2b 22 2d 6d 73 2d 22 2b 61 2b 61 3b -"+a+"-ms-"+a+a;
000164e0: 63 61 73 65 20 38 38 33 3a 69 66 28 34 35 3d 3d case 883:if(45==
000164f0: 3d 61 2e 63 68 61 72 43 6f 64 65 41 74 28 38 29 =a.charCodeAt(8)
00016500: 29 72 65 74 75 72 6e 22 2d 77 65 62 6b 69 74 2d )return"-webkit-
00016510: 22 2b 61 2b 61 3b 69 66 28 30 3c 61 2e 69 6e 64 "+a+a;if(0<a.ind
00016520: 65 78 4f 66 28 22 69 6d 61 67 65 2d 73 65 74 28 exOf("image-set(
00016530: 22 2c 31 31 29 29 72 65 74 75 72 6e 20 61 2e 72 ",11))return a.r
00016540: 65 70 6c 61 63 65 28 41 2c 22 24 31 2d 77 65 62 eplace(A,"$1-web
00016550: 6b 69 74 2d 24 32 22 29 2b 61 3b 62 72 65 61 6b kit-$2")+a;break
00016560: 3b 63 61 73 65 20 39 33 32 3a 69 66 28 34 35 3d ;case 932:if(45=
00016570: 3d 3d 61 2e 63 68 61 72 43 6f 64 65 41 74 28 34 ==a.charCodeAt(4
00016580: 29 29 73 77 69 74 63 68 28 61 2e 63 68 61 72 43 ))switch(a.charC
00016590: 6f 64 65 41 74 28 35 29 29 7b 63 61 73 65 20 31 odeAt(5)){case 1
000165a0: 30 33 3a 72 65 74 75 72 6e 22 2d 77 65 62 6b 69 03:return"-webki
000165b0: 74 2d 62 6f 78 2d 22 2b 61 2e 72 65 70 6c 61 63 t-box-"+a.replac
000165c0: 65 28 22 2d 67 72 6f 77 22 2c 22 22 29 2b 22 2d e("-grow","")+"-
000165d0: 77 65 62 6b 69 74 2d 22 2b 61 2b 22 2d 6d 73 2d webkit-"+a+"-ms-
000165e0: 22 2b 61 2e 72 65 70 6c 61 63 65 28 22 67 72 6f "+a.replace("gro
000165f0: 77 22 2c 22 70 6f 73 69 74 69 76 65 22 29 2b 61 w","positive")+a
00016600: 3b 63 61 73 65 20 31 31 35 3a 72 65 74 75 72 6e ;case 115:return
00016610: 22 2d 77 65 62 6b 69 74 2d 22 2b 61 2b 22 2d 6d "-webkit-"+a+"-m
00016620: 73 2d 22 2b 61 2e 72 65 70 6c 61 63 65 28 22 73 s-"+a.replace("s
00016630: 68 72 69 6e 6b 22 2c 22 6e 65 67 61 74 69 76 65 hrink","negative
00016640: 22 29 2b 61 3b 63 61 73 65 20 39 38 3a 72 65 74 ")+a;case 98:ret
00016650: 75 72 6e 22 2d 77 65 62 6b 69 74 2d 22 2b 61 2b urn"-webkit-"+a+
00016660: 22 2d 6d 73 2d 22 2b 61 2e 72 65 70 6c 61 63 65 "-ms-"+a.replace
00016670: 28 22 62 61 73 69 73 22 2c 22 70 72 65 66 65 72 ("basis","prefer
00016680: 72 65 64 2d 73 69 7a 65 22 29 2b 61 7d 72 65 74 red-size")+a}ret
00016690: 75 72 6e 22 2d 77 65 62 6b 69 74 2d 22 2b 61 2b urn"-webkit-"+a+
000166a0: 22 2d 6d 73 2d 22 2b 61 2b 61 3b 63 61 73 65 20 "-ms-"+a+a;case
000166b0: 39 36 34 3a 72 65 74 75 72 6e 22 2d 77 65 62 6b 964:return"-webk
000166c0: 69 74 2d 22 2b 61 2b 22 2d 6d 73 2d 66 6c 65 78 it-"+a+"-ms-flex
000166d0: 2d 22 2b 61 2b 61 3b 63 61 73 65 20 31 30 32 33 -"+a+a;case 1023
000166e0: 3a 69 66 28 39 39 21 3d 3d 61 2e 63 68 61 72 43 :if(99!==a.charC
000166f0: 6f 64 65 41 74 28 38 29 29 62 72 65 61 6b 3b 72 odeAt(8))break;r
00016700: 65 74 75 72 6e 22 2d 77 65 62 6b 69 74 2d 62 6f eturn"-webkit-bo
00016710: 78 2d 70 61 63 6b 22 2b 28 6c 3d 61 2e 73 75 62 x-pack"+(l=a.sub
00016720: 73 74 72 69 6e 67 28 61 2e 69 6e 64 65 78 4f 66 string(a.indexOf
00016730: 28 22 3a 22 2c 31 35 29 29 2e 72 65 70 6c 61 63 (":",15)).replac
00016740: 65 28 22 66 6c 65 78 2d 22 2c 22 22 29 2e 72 65 e("flex-","").re
00016750: 70 6c 61 63 65 28 22 73 70 61 63 65 2d 62 65 74 place("space-bet
00016760: 77 65 65 6e 22 2c 22 6a 75 73 74 69 66 79 22 29 ween","justify")
00016770: 29 2b 22 2d 77 65 62 6b 69 74 2d 22 2b 61 2b 22 )+"-webkit-"+a+"
00016780: 2d 6d 73 2d 66 6c 65 78 2d 70 61 63 6b 22 2b 6c -ms-flex-pack"+l
00016790: 2b 61 3b 63 61 73 65 20 31 30 30 35 3a 72 65 74 +a;case 1005:ret
000167a0: 75 72 6e 20 66 2e 74 65 73 74 28 61 29 3f 61 2e urn f.test(a)?a.
000167b0: 72 65 70 6c 61 63 65 28 70 2c 22 3a 2d 77 65 62 replace(p,":-web
000167c0: 6b 69 74 2d 22 29 2b 61 2e 72 65 70 6c 61 63 65 kit-")+a.replace
000167d0: 28 70 2c 22 3a 2d 6d 6f 7a 2d 22 29 2b 61 3a 61 (p,":-moz-")+a:a
000167e0: 3b 63 61 73 65 20 31 65 33 3a 73 77 69 74 63 68 ;case 1e3:switch
000167f0: 28 74 3d 28 6c 3d 61 2e 73 75 62 73 74 72 69 6e (t=(l=a.substrin
00016800: 67 28 31 33 29 2e 74 72 69 6d 28 29 29 2e 69 6e g(13).trim()).in
00016810: 64 65 78 4f 66 28 22 2d 22 29 2b 31 2c 6c 2e 63 dexOf("-")+1,l.c
00016820: 68 61 72 43 6f 64 65 41 74 28 30 29 2b 6c 2e 63 harCodeAt(0)+l.c
00016830: 68 61 72 43 6f 64 65 41 74 28 74 29 29 7b 63 61 harCodeAt(t)){ca
00016840: 73 65 20 32 32 36 3a 6c 3d 61 2e 72 65 70 6c 61 se 226:l=a.repla
00016850: 63 65 28 45 2c 22 74 62 22 29 3b 62 72 65 61 6b ce(E,"tb");break
00016860: 3b 63 61 73 65 20 32 33 32 3a 6c 3d 61 2e 72 65 ;case 232:l=a.re
00016870: 70 6c 61 63 65 28 45 2c 22 74 62 2d 72 6c 22 29 place(E,"tb-rl")
00016880: 3b 62 72 65 61 6b 3b 63 61 73 65 20 32 32 30 3a ;break;case 220:
00016890: 6c 3d 61 2e 72 65 70 6c 61 63 65 28 45 2c 22 6c l=a.replace(E,"l
000168a0: 72 22 29 3b 62 72 65 61 6b 3b 64 65 66 61 75 6c r");break;defaul
000168b0: 74 3a 72 65 74 75 72 6e 20 61 7d 72 65 74 75 72 t:return a}retur
000168c0: 6e 22 2d 77 65 62 6b 69 74 2d 22 2b 61 2b 22 2d n"-webkit-"+a+"-
000168d0: 6d 73 2d 22 2b 6c 2b 61 3b 63 61 73 65 20 31 30 ms-"+l+a;case 10
000168e0: 31 37 3a 69 66 28 2d 31 3d 3d 3d 61 2e 69 6e 64 17:if(-1===a.ind
000168f0: 65 78 4f 66 28 22 73 74 69 63 6b 79 22 2c 39 29 exOf("sticky",9)
00016900: 29 62 72 65 61 6b 3b 63 61 73 65 20 39 37 35 3a )break;case 975:
00016910: 73 77 69 74 63 68 28 74 3d 28 61 3d 65 29 2e 6c switch(t=(a=e).l
00016920: 65 6e 67 74 68 2d 31 30 2c 73 3d 28 6c 3d 28 33 ength-10,s=(l=(3
00016930: 33 3d 3d 3d 61 2e 63 68 61 72 43 6f 64 65 41 74 3===a.charCodeAt
00016940: 28 74 29 3f 61 2e 73 75 62 73 74 72 69 6e 67 28 (t)?a.substring(
00016950: 30 2c 74 29 3a 61 29 2e 73 75 62 73 74 72 69 6e 0,t):a).substrin
00016960: 67 28 65 2e 69 6e 64 65 78 4f 66 28 22 3a 22 2c g(e.indexOf(":",
00016970: 37 29 2b 31 29 2e 74 72 69 6d 28 29 29 2e 63 68 7)+1).trim()).ch
00016980: 61 72 43 6f 64 65 41 74 28 30 29 2b 28 30 7c 6c arCodeAt(0)+(0|l
00016990: 2e 63 68 61 72 43 6f 64 65 41 74 28 37 29 29 29 .charCodeAt(7)))
000169a0: 7b 63 61 73 65 20 32 30 33 3a 69 66 28 31 31 31 {case 203:if(111
000169b0: 3e 6c 2e 63 68 61 72 43 6f 64 65 41 74 28 38 29 >l.charCodeAt(8)
000169c0: 29 62 72 65 61 6b 3b 63 61 73 65 20 31 31 35 3a )break;case 115:
000169d0: 61 3d 61 2e 72 65 70 6c 61 63 65 28 6c 2c 22 2d a=a.replace(l,"-
000169e0: 77 65 62 6b 69 74 2d 22 2b 6c 29 2b 22 3b 22 2b webkit-"+l)+";"+
000169f0: 61 3b 62 72 65 61 6b 3b 63 61 73 65 20 32 30 37 a;break;case 207
00016a00: 3a 63 61 73 65 20 31 30 32 3a 61 3d 61 2e 72 65 :case 102:a=a.re
00016a10: 70 6c 61 63 65 28 6c 2c 22 2d 77 65 62 6b 69 74 place(l,"-webkit
00016a20: 2d 22 2b 28 31 30 32 3c 73 3f 22 69 6e 6c 69 6e -"+(102<s?"inlin
00016a30: 65 2d 22 3a 22 22 29 2b 22 62 6f 78 22 29 2b 22 e-":"")+"box")+"
00016a40: 3b 22 2b 61 2e 72 65 70 6c 61 63 65 28 6c 2c 22 ;"+a.replace(l,"
00016a50: 2d 77 65 62 6b 69 74 2d 22 2b 6c 29 2b 22 3b 22 -webkit-"+l)+";"
00016a60: 2b 61 2e 72 65 70 6c 61 63 65 28 6c 2c 22 2d 6d +a.replace(l,"-m
00016a70: 73 2d 22 2b 6c 2b 22 62 6f 78 22 29 2b 22 3b 22 s-"+l+"box")+";"
00016a80: 2b 61 7d 72 65 74 75 72 6e 20 61 2b 22 3b 22 3b +a}return a+";";
00016a90: 63 61 73 65 20 39 33 38 3a 69 66 28 34 35 3d 3d case 938:if(45==
00016aa0: 3d 61 2e 63 68 61 72 43 6f 64 65 41 74 28 35 29 =a.charCodeAt(5)
00016ab0: 29 73 77 69 74 63 68 28 61 2e 63 68 61 72 43 6f )switch(a.charCo
00016ac0: 64 65 41 74 28 36 29 29 7b 63 61 73 65 20 31 30 deAt(6)){case 10
00016ad0: 35 3a 72 65 74 75 72 6e 20 6c 3d 61 2e 72 65 70 5:return l=a.rep
00016ae0: 6c 61 63 65 28 22 2d 69 74 65 6d 73 22 2c 22 22 lace("-items",""
00016af0: 29 2c 22 2d 77 65 62 6b 69 74 2d 22 2b 61 2b 22 ),"-webkit-"+a+"
00016b00: 2d 77 65 62 6b 69 74 2d 62 6f 78 2d 22 2b 6c 2b -webkit-box-"+l+
00016b10: 22 2d 6d 73 2d 66 6c 65 78 2d 22 2b 6c 2b 61 3b "-ms-flex-"+l+a;
00016b20: 63 61 73 65 20 31 31 35 3a 72 65 74 75 72 6e 22 case 115:return"
00016b30: 2d 77 65 62 6b 69 74 2d 22 2b 61 2b 22 2d 6d 73 -webkit-"+a+"-ms
00016b40: 2d 66 6c 65 78 2d 69 74 65 6d 2d 22 2b 61 2e 72 -flex-item-"+a.r
00016b50: 65 70 6c 61 63 65 28 77 2c 22 22 29 2b 61 3b 64 eplace(w,"")+a;d
00016b60: 65 66 61 75 6c 74 3a 72 65 74 75 72 6e 22 2d 77 efault:return"-w
00016b70: 65 62 6b 69 74 2d 22 2b 61 2b 22 2d 6d 73 2d 66 ebkit-"+a+"-ms-f
00016b80: 6c 65 78 2d 6c 69 6e 65 2d 70 61 63 6b 22 2b 61 lex-line-pack"+a
00016b90: 2e 72 65 70 6c 61 63 65 28 22 61 6c 69 67 6e 2d .replace("align-
00016ba0: 63 6f 6e 74 65 6e 74 22 2c 22 22 29 2e 72 65 70 content","").rep
00016bb0: 6c 61 63 65 28 77 2c 22 22 29 2b 61 7d 62 72 65 lace(w,"")+a}bre
00016bc0: 61 6b 3b 63 61 73 65 20 39 37 33 3a 63 61 73 65 ak;case 973:case
00016bd0: 20 39 38 39 3a 69 66 28 34 35 21 3d 3d 61 2e 63 989:if(45!==a.c
00016be0: 68 61 72 43 6f 64 65 41 74 28 33 29 7c 7c 31 32 harCodeAt(3)||12
00016bf0: 32 3d 3d 3d 61 2e 63 68 61 72 43 6f 64 65 41 74 2===a.charCodeAt
00016c00: 28 34 29 29 62 72 65 61 6b 3b 63 61 73 65 20 39 (4))break;case 9
00016c10: 33 31 3a 63 61 73 65 20 39 35 33 3a 69 66 28 21 31:case 953:if(!
00016c20: 30 3d 3d 3d 78 2e 74 65 73 74 28 65 29 29 72 65 0===x.test(e))re
00016c30: 74 75 72 6e 20 31 31 35 3d 3d 3d 28 6c 3d 65 2e turn 115===(l=e.
00016c40: 73 75 62 73 74 72 69 6e 67 28 65 2e 69 6e 64 65 substring(e.inde
00016c50: 78 4f 66 28 22 3a 22 29 2b 31 29 29 2e 63 68 61 xOf(":")+1)).cha
00016c60: 72 43 6f 64 65 41 74 28 30 29 3f 6f 28 65 2e 72 rCodeAt(0)?o(e.r
00016c70: 65 70 6c 61 63 65 28 22 73 74 72 65 74 63 68 22 eplace("stretch"
00016c80: 2c 22 66 69 6c 6c 2d 61 76 61 69 6c 61 62 6c 65 ,"fill-available
00016c90: 22 29 2c 74 2c 6e 2c 72 29 2e 72 65 70 6c 61 63 "),t,n,r).replac
00016ca0: 65 28 22 3a 66 69 6c 6c 2d 61 76 61 69 6c 61 62 e(":fill-availab
00016cb0: 6c 65 22 2c 22 3a 73 74 72 65 74 63 68 22 29 3a le",":stretch"):
00016cc0: 61 2e 72 65 70 6c 61 63 65 28 6c 2c 22 2d 77 65 a.replace(l,"-we
00016cd0: 62 6b 69 74 2d 22 2b 6c 29 2b 61 2e 72 65 70 6c bkit-"+l)+a.repl
00016ce0: 61 63 65 28 6c 2c 22 2d 6d 6f 7a 2d 22 2b 6c 2e ace(l,"-moz-"+l.
00016cf0: 72 65 70 6c 61 63 65 28 22 66 69 6c 6c 2d 22 2c replace("fill-",
00016d00: 22 22 29 29 2b 61 3b 62 72 65 61 6b 3b 63 61 73 ""))+a;break;cas
00016d10: 65 20 39 36 32 3a 69 66 28 61 3d 22 2d 77 65 62 e 962:if(a="-web
00016d20: 6b 69 74 2d 22 2b 61 2b 28 31 30 32 3d 3d 3d 61 kit-"+a+(102===a
00016d30: 2e 63 68 61 72 43 6f 64 65 41 74 28 35 29 3f 22 .charCodeAt(5)?"
00016d40: 2d 6d 73 2d 22 2b 61 3a 22 22 29 2b 61 2c 32 31 -ms-"+a:"")+a,21
00016d50: 31 3d 3d 3d 6e 2b 72 26 26 31 30 35 3d 3d 3d 61 1===n+r&&105===a
00016d60: 2e 63 68 61 72 43 6f 64 65 41 74 28 31 33 29 26 .charCodeAt(13)&
00016d70: 26 30 3c 61 2e 69 6e 64 65 78 4f 66 28 22 74 72 &0<a.indexOf("tr
00016d80: 61 6e 73 66 6f 72 6d 22 2c 31 30 29 29 72 65 74 ansform",10))ret
00016d90: 75 72 6e 20 61 2e 73 75 62 73 74 72 69 6e 67 28 urn a.substring(
00016da0: 30 2c 61 2e 69 6e 64 65 78 4f 66 28 22 3b 22 2c 0,a.indexOf(";",
00016db0: 32 37 29 2b 31 29 2e 72 65 70 6c 61 63 65 28 6d 27)+1).replace(m
00016dc0: 2c 22 24 31 2d 77 65 62 6b 69 74 2d 24 32 22 29 ,"$1-webkit-$2")
00016dd0: 2b 61 7d 72 65 74 75 72 6e 20 61 7d 66 75 6e 63 +a}return a}func
00016de0: 74 69 6f 6e 20 69 28 65 2c 74 29 7b 76 61 72 20 tion i(e,t){var
00016df0: 6e 3d 65 2e 69 6e 64 65 78 4f 66 28 31 3d 3d 3d n=e.indexOf(1===
00016e00: 74 3f 22 3a 22 3a 22 7b 22 29 2c 72 3d 65 2e 73 t?":":"{"),r=e.s
00016e10: 75 62 73 74 72 69 6e 67 28 30 2c 33 21 3d 3d 74 ubstring(0,3!==t
00016e20: 3f 6e 3a 31 30 29 3b 72 65 74 75 72 6e 20 6e 3d ?n:10);return n=
00016e30: 65 2e 73 75 62 73 74 72 69 6e 67 28 6e 2b 31 2c e.substring(n+1,
00016e40: 65 2e 6c 65 6e 67 74 68 2d 31 29 2c 50 28 32 21 e.length-1),P(2!
00016e50: 3d 3d 74 3f 72 3a 72 2e 72 65 70 6c 61 63 65 28 ==t?r:r.replace(
00016e60: 54 2c 22 24 31 22 29 2c 6e 2c 74 29 7d 66 75 6e T,"$1"),n,t)}fun
00016e70: 63 74 69 6f 6e 20 61 28 65 2c 74 29 7b 76 61 72 ction a(e,t){var
00016e80: 20 6e 3d 6f 28 74 2c 74 2e 63 68 61 72 43 6f 64 n=o(t,t.charCod
00016e90: 65 41 74 28 30 29 2c 74 2e 63 68 61 72 43 6f 64 eAt(0),t.charCod
00016ea0: 65 41 74 28 31 29 2c 74 2e 63 68 61 72 43 6f 64 eAt(1),t.charCod
00016eb0: 65 41 74 28 32 29 29 3b 72 65 74 75 72 6e 20 6e eAt(2));return n
00016ec0: 21 3d 3d 74 2b 22 3b 22 3f 6e 2e 72 65 70 6c 61 !==t+";"?n.repla
00016ed0: 63 65 28 53 2c 22 20 6f 72 20 28 24 31 29 22 29 ce(S," or ($1)")
00016ee0: 2e 73 75 62 73 74 72 69 6e 67 28 34 29 3a 22 28 .substring(4):"(
00016ef0: 22 2b 74 2b 22 29 22 7d 66 75 6e 63 74 69 6f 6e "+t+")"}function
00016f00: 20 73 28 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 2c 61 s(e,t,n,r,o,i,a
00016f10: 2c 73 2c 6c 2c 75 29 7b 66 6f 72 28 76 61 72 20 ,s,l,u){for(var
00016f20: 64 2c 70 3d 30 2c 66 3d 74 3b 70 3c 4d 3b 2b 2b d,p=0,f=t;p<M;++
00016f30: 70 29 73 77 69 74 63 68 28 64 3d 49 5b 70 5d 2e p)switch(d=I[p].
00016f40: 63 61 6c 6c 28 63 2c 65 2c 66 2c 6e 2c 72 2c 6f call(c,e,f,n,r,o
00016f50: 2c 69 2c 61 2c 73 2c 6c 2c 75 29 29 7b 63 61 73 ,i,a,s,l,u)){cas
00016f60: 65 20 76 6f 69 64 20 30 3a 63 61 73 65 21 31 3a e void 0:case!1:
00016f70: 63 61 73 65 21 30 3a 63 61 73 65 20 6e 75 6c 6c case!0:case null
00016f80: 3a 62 72 65 61 6b 3b 64 65 66 61 75 6c 74 3a 66 :break;default:f
00016f90: 3d 64 7d 69 66 28 66 21 3d 3d 74 29 72 65 74 75 =d}if(f!==t)retu
00016fa0: 72 6e 20 66 7d 66 75 6e 63 74 69 6f 6e 20 6c 28 rn f}function l(
00016fb0: 65 29 7b 72 65 74 75 72 6e 20 76 6f 69 64 20 30 e){return void 0
00016fc0: 21 3d 3d 28 65 3d 65 2e 70 72 65 66 69 78 29 26 !==(e=e.prefix)&
00016fd0: 26 28 50 3d 6e 75 6c 6c 2c 65 3f 22 66 75 6e 63 &(P=null,e?"func
00016fe0: 74 69 6f 6e 22 21 3d 74 79 70 65 6f 66 20 65 3f tion"!=typeof e?
00016ff0: 4f 3d 31 3a 28 4f 3d 32 2c 50 3d 65 29 3a 4f 3d O=1:(O=2,P=e):O=
00017000: 30 29 2c 6c 7d 66 75 6e 63 74 69 6f 6e 20 63 28 0),l}function c(
00017010: 65 2c 6e 29 7b 76 61 72 20 72 3d 65 3b 69 66 28 e,n){var r=e;if(
00017020: 33 33 3e 72 2e 63 68 61 72 43 6f 64 65 41 74 28 33>r.charCodeAt(
00017030: 30 29 26 26 28 72 3d 72 2e 74 72 69 6d 28 29 29 0)&&(r=r.trim())
00017040: 2c 72 3d 5b 72 5d 2c 30 3c 4d 29 7b 76 61 72 20 ,r=[r],0<M){var
00017050: 6f 3d 73 28 2d 31 2c 6e 2c 72 2c 72 2c 52 2c 43 o=s(-1,n,r,r,R,C
00017060: 2c 30 2c 30 2c 30 2c 30 29 3b 76 6f 69 64 20 30 ,0,0,0,0);void 0
00017070: 21 3d 3d 6f 26 26 22 73 74 72 69 6e 67 22 3d 3d !==o&&"string"==
00017080: 74 79 70 65 6f 66 20 6f 26 26 28 6e 3d 6f 29 7d typeof o&&(n=o)}
00017090: 76 61 72 20 69 3d 74 28 4e 2c 72 2c 6e 2c 30 2c var i=t(N,r,n,0,
000170a0: 30 29 3b 72 65 74 75 72 6e 20 30 3c 4d 26 26 28 0);return 0<M&&(
000170b0: 76 6f 69 64 20 30 21 3d 3d 28 6f 3d 73 28 2d 32 void 0!==(o=s(-2
000170c0: 2c 69 2c 72 2c 72 2c 52 2c 43 2c 69 2e 6c 65 6e ,i,r,r,R,C,i.len
000170d0: 67 74 68 2c 30 2c 30 2c 30 29 29 26 26 28 69 3d gth,0,0,0))&&(i=
000170e0: 6f 29 29 2c 22 22 2c 6b 3d 30 2c 43 3d 52 3d 31 o)),"",k=0,C=R=1
000170f0: 2c 69 7d 76 61 72 20 75 3d 2f 5e 5c 30 2b 2f 67 ,i}var u=/^\0+/g
00017100: 2c 64 3d 2f 5b 5c 30 5c 72 5c 66 5d 2f 67 2c 70 ,d=/[\0\r\f]/g,p
00017110: 3d 2f 3a 20 2a 2f 67 2c 66 3d 2f 7a 6f 6f 7c 67 =/: */g,f=/zoo|g
00017120: 72 61 2f 2c 6d 3d 2f 28 5b 2c 3a 20 5d 29 28 74 ra/,m=/([,: ])(t
00017130: 72 61 6e 73 66 6f 72 6d 29 2f 67 2c 68 3d 2f 2c ransform)/g,h=/,
00017140: 5c 72 2b 3f 2f 67 2c 67 3d 2f 28 5b 5c 74 5c 72 \r+?/g,g=/([\t\r
00017150: 5c 6e 20 5d 29 2a 5c 66 3f 26 2f 67 2c 62 3d 2f \n ])*\f?&/g,b=/
00017160: 40 28 6b 5c 77 2b 29 5c 73 2a 28 5c 53 2a 29 5c @(k\w+)\s*(\S*)\
00017170: 73 2a 2f 2c 76 3d 2f 3a 3a 28 70 6c 61 63 65 29 s*/,v=/::(place)
00017180: 2f 67 2c 79 3d 2f 3a 28 72 65 61 64 2d 6f 6e 6c /g,y=/:(read-onl
00017190: 79 29 2f 67 2c 45 3d 2f 5b 73 76 68 5d 5c 77 2b y)/g,E=/[svh]\w+
000171a0: 2d 5b 74 62 6c 72 5d 7b 32 7d 2f 2c 5f 3d 2f 5c -[tblr]{2}/,_=/\
000171b0: 28 5c 73 2a 28 2e 2a 29 5c 73 2a 5c 29 2f 67 2c (\s*(.*)\s*\)/g,
000171c0: 53 3d 2f 28 5b 5c 73 5c 53 5d 2a 3f 29 3b 2f 67 S=/([\s\S]*?);/g
000171d0: 2c 77 3d 2f 2d 73 65 6c 66 7c 66 6c 65 78 2d 2f ,w=/-self|flex-/
000171e0: 67 2c 54 3d 2f 5b 5e 5d 2a 3f 28 3a 5b 72 70 5d g,T=/[^]*?(:[rp]
000171f0: 5b 65 6c 5d 61 5b 5c 77 2d 5d 2b 29 5b 5e 5d 2a [el]a[\w-]+)[^]*
00017200: 2f 2c 78 3d 2f 73 74 72 65 74 63 68 7c 3a 5c 73 /,x=/stretch|:\s
00017210: 2a 5c 77 2b 5c 2d 28 3f 3a 63 6f 6e 74 65 7c 61 *\w+\-(?:conte|a
00017220: 76 61 69 6c 29 2f 2c 41 3d 2f 28 5b 5e 2d 5d 29 vail)/,A=/([^-])
00017230: 28 69 6d 61 67 65 2d 73 65 74 5c 28 29 2f 2c 43 (image-set\()/,C
00017240: 3d 31 2c 52 3d 31 2c 6b 3d 30 2c 4f 3d 31 2c 4e =1,R=1,k=0,O=1,N
00017250: 3d 5b 5d 2c 49 3d 5b 5d 2c 4d 3d 30 2c 50 3d 6e =[],I=[],M=0,P=n
00017260: 75 6c 6c 2c 44 3d 30 3b 72 65 74 75 72 6e 20 63 ull,D=0;return c
00017270: 2e 75 73 65 3d 66 75 6e 63 74 69 6f 6e 20 65 28 .use=function e(
00017280: 74 29 7b 73 77 69 74 63 68 28 74 29 7b 63 61 73 t){switch(t){cas
00017290: 65 20 76 6f 69 64 20 30 3a 63 61 73 65 20 6e 75 e void 0:case nu
000172a0: 6c 6c 3a 4d 3d 49 2e 6c 65 6e 67 74 68 3d 30 3b ll:M=I.length=0;
000172b0: 62 72 65 61 6b 3b 64 65 66 61 75 6c 74 3a 69 66 break;default:if
000172c0: 28 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 ("function"==typ
000172d0: 65 6f 66 20 74 29 49 5b 4d 2b 2b 5d 3d 74 3b 65 eof t)I[M++]=t;e
000172e0: 6c 73 65 20 69 66 28 22 6f 62 6a 65 63 74 22 3d lse if("object"=
000172f0: 3d 74 79 70 65 6f 66 20 74 29 66 6f 72 28 76 61 =typeof t)for(va
00017300: 72 20 6e 3d 30 2c 72 3d 74 2e 6c 65 6e 67 74 68 r n=0,r=t.length
00017310: 3b 6e 3c 72 3b 2b 2b 6e 29 65 28 74 5b 6e 5d 29 ;n<r;++n)e(t[n])
00017320: 3b 65 6c 73 65 20 44 3d 30 7c 21 21 74 7d 72 65 ;else D=0|!!t}re
00017330: 74 75 72 6e 20 65 7d 2c 63 2e 73 65 74 3d 6c 2c turn e},c.set=l,
00017340: 76 6f 69 64 20 30 21 3d 3d 65 26 26 6c 28 65 29 void 0!==e&&l(e)
00017350: 2c 63 7d 2c 6c 3d 7b 61 6e 69 6d 61 74 69 6f 6e ,c},l={animation
00017360: 49 74 65 72 61 74 69 6f 6e 43 6f 75 6e 74 3a 31 IterationCount:1
00017370: 2c 62 6f 72 64 65 72 49 6d 61 67 65 4f 75 74 73 ,borderImageOuts
00017380: 65 74 3a 31 2c 62 6f 72 64 65 72 49 6d 61 67 65 et:1,borderImage
00017390: 53 6c 69 63 65 3a 31 2c 62 6f 72 64 65 72 49 6d Slice:1,borderIm
000173a0: 61 67 65 57 69 64 74 68 3a 31 2c 62 6f 78 46 6c ageWidth:1,boxFl
000173b0: 65 78 3a 31 2c 62 6f 78 46 6c 65 78 47 72 6f 75 ex:1,boxFlexGrou
000173c0: 70 3a 31 2c 62 6f 78 4f 72 64 69 6e 61 6c 47 72 p:1,boxOrdinalGr
000173d0: 6f 75 70 3a 31 2c 63 6f 6c 75 6d 6e 43 6f 75 6e oup:1,columnCoun
000173e0: 74 3a 31 2c 63 6f 6c 75 6d 6e 73 3a 31 2c 66 6c t:1,columns:1,fl
000173f0: 65 78 3a 31 2c 66 6c 65 78 47 72 6f 77 3a 31 2c ex:1,flexGrow:1,
00017400: 66 6c 65 78 50 6f 73 69 74 69 76 65 3a 31 2c 66 flexPositive:1,f
00017410: 6c 65 78 53 68 72 69 6e 6b 3a 31 2c 66 6c 65 78 lexShrink:1,flex
00017420: 4e 65 67 61 74 69 76 65 3a 31 2c 66 6c 65 78 4f Negative:1,flexO
00017430: 72 64 65 72 3a 31 2c 67 72 69 64 52 6f 77 3a 31 rder:1,gridRow:1
00017440: 2c 67 72 69 64 52 6f 77 45 6e 64 3a 31 2c 67 72 ,gridRowEnd:1,gr
00017450: 69 64 52 6f 77 53 70 61 6e 3a 31 2c 67 72 69 64 idRowSpan:1,grid
00017460: 52 6f 77 53 74 61 72 74 3a 31 2c 67 72 69 64 43 RowStart:1,gridC
00017470: 6f 6c 75 6d 6e 3a 31 2c 67 72 69 64 43 6f 6c 75 olumn:1,gridColu
00017480: 6d 6e 45 6e 64 3a 31 2c 67 72 69 64 43 6f 6c 75 mnEnd:1,gridColu
00017490: 6d 6e 53 70 61 6e 3a 31 2c 67 72 69 64 43 6f 6c mnSpan:1,gridCol
000174a0: 75 6d 6e 53 74 61 72 74 3a 31 2c 6d 73 47 72 69 umnStart:1,msGri
000174b0: 64 52 6f 77 3a 31 2c 6d 73 47 72 69 64 52 6f 77 dRow:1,msGridRow
000174c0: 53 70 61 6e 3a 31 2c 6d 73 47 72 69 64 43 6f 6c Span:1,msGridCol
000174d0: 75 6d 6e 3a 31 2c 6d 73 47 72 69 64 43 6f 6c 75 umn:1,msGridColu
000174e0: 6d 6e 53 70 61 6e 3a 31 2c 66 6f 6e 74 57 65 69 mnSpan:1,fontWei
000174f0: 67 68 74 3a 31 2c 6c 69 6e 65 48 65 69 67 68 74 ght:1,lineHeight
00017500: 3a 31 2c 6f 70 61 63 69 74 79 3a 31 2c 6f 72 64 :1,opacity:1,ord
00017510: 65 72 3a 31 2c 6f 72 70 68 61 6e 73 3a 31 2c 74 er:1,orphans:1,t
00017520: 61 62 53 69 7a 65 3a 31 2c 77 69 64 6f 77 73 3a abSize:1,widows:
00017530: 31 2c 7a 49 6e 64 65 78 3a 31 2c 7a 6f 6f 6d 3a 1,zIndex:1,zoom:
00017540: 31 2c 57 65 62 6b 69 74 4c 69 6e 65 43 6c 61 6d 1,WebkitLineClam
00017550: 70 3a 31 2c 66 69 6c 6c 4f 70 61 63 69 74 79 3a p:1,fillOpacity:
00017560: 31 2c 66 6c 6f 6f 64 4f 70 61 63 69 74 79 3a 31 1,floodOpacity:1
00017570: 2c 73 74 6f 70 4f 70 61 63 69 74 79 3a 31 2c 73 ,stopOpacity:1,s
00017580: 74 72 6f 6b 65 44 61 73 68 61 72 72 61 79 3a 31 trokeDasharray:1
00017590: 2c 73 74 72 6f 6b 65 44 61 73 68 6f 66 66 73 65 ,strokeDashoffse
000175a0: 74 3a 31 2c 73 74 72 6f 6b 65 4d 69 74 65 72 6c t:1,strokeMiterl
000175b0: 69 6d 69 74 3a 31 2c 73 74 72 6f 6b 65 4f 70 61 imit:1,strokeOpa
000175c0: 63 69 74 79 3a 31 2c 73 74 72 6f 6b 65 57 69 64 city:1,strokeWid
000175d0: 74 68 3a 31 7d 3b 76 61 72 20 63 3d 2f 5e 28 28 th:1};var c=/^((
000175e0: 63 68 69 6c 64 72 65 6e 7c 64 61 6e 67 65 72 6f children|dangero
000175f0: 75 73 6c 79 53 65 74 49 6e 6e 65 72 48 54 4d 4c uslySetInnerHTML
00017600: 7c 6b 65 79 7c 72 65 66 7c 61 75 74 6f 46 6f 63 |key|ref|autoFoc
00017610: 75 73 7c 64 65 66 61 75 6c 74 56 61 6c 75 65 7c us|defaultValue|
00017620: 64 65 66 61 75 6c 74 43 68 65 63 6b 65 64 7c 69 defaultChecked|i
00017630: 6e 6e 65 72 48 54 4d 4c 7c 73 75 70 70 72 65 73 nnerHTML|suppres
00017640: 73 43 6f 6e 74 65 6e 74 45 64 69 74 61 62 6c 65 sContentEditable
00017650: 57 61 72 6e 69 6e 67 7c 73 75 70 70 72 65 73 73 Warning|suppress
00017660: 48 79 64 72 61 74 69 6f 6e 57 61 72 6e 69 6e 67 HydrationWarning
00017670: 7c 76 61 6c 75 65 4c 69 6e 6b 7c 61 62 62 72 7c |valueLink|abbr|
00017680: 61 63 63 65 70 74 7c 61 63 63 65 70 74 43 68 61 accept|acceptCha
00017690: 72 73 65 74 7c 61 63 63 65 73 73 4b 65 79 7c 61 rset|accessKey|a
000176a0: 63 74 69 6f 6e 7c 61 6c 6c 6f 77 7c 61 6c 6c 6f ction|allow|allo
000176b0: 77 55 73 65 72 4d 65 64 69 61 7c 61 6c 6c 6f 77 wUserMedia|allow
000176c0: 50 61 79 6d 65 6e 74 52 65 71 75 65 73 74 7c 61 PaymentRequest|a
000176d0: 6c 6c 6f 77 46 75 6c 6c 53 63 72 65 65 6e 7c 61 llowFullScreen|a
000176e0: 6c 6c 6f 77 54 72 61 6e 73 70 61 72 65 6e 63 79 llowTransparency
000176f0: 7c 61 6c 74 7c 61 73 79 6e 63 7c 61 75 74 6f 43 |alt|async|autoC
00017700: 6f 6d 70 6c 65 74 65 7c 61 75 74 6f 50 6c 61 79 omplete|autoPlay
00017710: 7c 63 61 70 74 75 72 65 7c 63 65 6c 6c 50 61 64 |capture|cellPad
00017720: 64 69 6e 67 7c 63 65 6c 6c 53 70 61 63 69 6e 67 ding|cellSpacing
00017730: 7c 63 68 61 6c 6c 65 6e 67 65 7c 63 68 61 72 53 |challenge|charS
00017740: 65 74 7c 63 68 65 63 6b 65 64 7c 63 69 74 65 7c et|checked|cite|
00017750: 63 6c 61 73 73 49 44 7c 63 6c 61 73 73 4e 61 6d classID|classNam
00017760: 65 7c 63 6f 6c 73 7c 63 6f 6c 53 70 61 6e 7c 63 e|cols|colSpan|c
00017770: 6f 6e 74 65 6e 74 7c 63 6f 6e 74 65 6e 74 45 64 ontent|contentEd
00017780: 69 74 61 62 6c 65 7c 63 6f 6e 74 65 78 74 4d 65 itable|contextMe
00017790: 6e 75 7c 63 6f 6e 74 72 6f 6c 73 7c 63 6f 6e 74 nu|controls|cont
000177a0: 72 6f 6c 73 4c 69 73 74 7c 63 6f 6f 72 64 73 7c rolsList|coords|
000177b0: 63 72 6f 73 73 4f 72 69 67 69 6e 7c 64 61 74 61 crossOrigin|data
000177c0: 7c 64 61 74 65 54 69 6d 65 7c 64 65 63 6f 64 69 |dateTime|decodi
000177d0: 6e 67 7c 64 65 66 61 75 6c 74 7c 64 65 66 65 72 ng|default|defer
000177e0: 7c 64 69 72 7c 64 69 73 61 62 6c 65 64 7c 64 69 |dir|disabled|di
000177f0: 73 61 62 6c 65 50 69 63 74 75 72 65 49 6e 50 69 sablePictureInPi
00017800: 63 74 75 72 65 7c 64 6f 77 6e 6c 6f 61 64 7c 64 cture|download|d
00017810: 72 61 67 67 61 62 6c 65 7c 65 6e 63 54 79 70 65 raggable|encType
00017820: 7c 65 6e 74 65 72 4b 65 79 48 69 6e 74 7c 66 6f |enterKeyHint|fo
00017830: 72 6d 7c 66 6f 72 6d 41 63 74 69 6f 6e 7c 66 6f rm|formAction|fo
00017840: 72 6d 45 6e 63 54 79 70 65 7c 66 6f 72 6d 4d 65 rmEncType|formMe
00017850: 74 68 6f 64 7c 66 6f 72 6d 4e 6f 56 61 6c 69 64 thod|formNoValid
00017860: 61 74 65 7c 66 6f 72 6d 54 61 72 67 65 74 7c 66 ate|formTarget|f
00017870: 72 61 6d 65 42 6f 72 64 65 72 7c 68 65 61 64 65 rameBorder|heade
00017880: 72 73 7c 68 65 69 67 68 74 7c 68 69 64 64 65 6e rs|height|hidden
00017890: 7c 68 69 67 68 7c 68 72 65 66 7c 68 72 65 66 4c |high|href|hrefL
000178a0: 61 6e 67 7c 68 74 6d 6c 46 6f 72 7c 68 74 74 70 ang|htmlFor|http
000178b0: 45 71 75 69 76 7c 69 64 7c 69 6e 70 75 74 4d 6f Equiv|id|inputMo
000178c0: 64 65 7c 69 6e 74 65 67 72 69 74 79 7c 69 73 7c de|integrity|is|
000178d0: 6b 65 79 50 61 72 61 6d 73 7c 6b 65 79 54 79 70 keyParams|keyTyp
000178e0: 65 7c 6b 69 6e 64 7c 6c 61 62 65 6c 7c 6c 61 6e e|kind|label|lan
000178f0: 67 7c 6c 69 73 74 7c 6c 6f 61 64 69 6e 67 7c 6c g|list|loading|l
00017900: 6f 6f 70 7c 6c 6f 77 7c 6d 61 72 67 69 6e 48 65 oop|low|marginHe
00017910: 69 67 68 74 7c 6d 61 72 67 69 6e 57 69 64 74 68 ight|marginWidth
00017920: 7c 6d 61 78 7c 6d 61 78 4c 65 6e 67 74 68 7c 6d |max|maxLength|m
00017930: 65 64 69 61 7c 6d 65 64 69 61 47 72 6f 75 70 7c edia|mediaGroup|
00017940: 6d 65 74 68 6f 64 7c 6d 69 6e 7c 6d 69 6e 4c 65 method|min|minLe
00017950: 6e 67 74 68 7c 6d 75 6c 74 69 70 6c 65 7c 6d 75 ngth|multiple|mu
00017960: 74 65 64 7c 6e 61 6d 65 7c 6e 6f 6e 63 65 7c 6e ted|name|nonce|n
00017970: 6f 56 61 6c 69 64 61 74 65 7c 6f 70 65 6e 7c 6f oValidate|open|o
00017980: 70 74 69 6d 75 6d 7c 70 61 74 74 65 72 6e 7c 70 ptimum|pattern|p
00017990: 6c 61 63 65 68 6f 6c 64 65 72 7c 70 6c 61 79 73 laceholder|plays
000179a0: 49 6e 6c 69 6e 65 7c 70 6f 73 74 65 72 7c 70 72 Inline|poster|pr
000179b0: 65 6c 6f 61 64 7c 70 72 6f 66 69 6c 65 7c 72 61 eload|profile|ra
000179c0: 64 69 6f 47 72 6f 75 70 7c 72 65 61 64 4f 6e 6c dioGroup|readOnl
000179d0: 79 7c 72 65 66 65 72 72 65 72 50 6f 6c 69 63 79 y|referrerPolicy
000179e0: 7c 72 65 6c 7c 72 65 71 75 69 72 65 64 7c 72 65 |rel|required|re
000179f0: 76 65 72 73 65 64 7c 72 6f 6c 65 7c 72 6f 77 73 versed|role|rows
00017a00: 7c 72 6f 77 53 70 61 6e 7c 73 61 6e 64 62 6f 78 |rowSpan|sandbox
00017a10: 7c 73 63 6f 70 65 7c 73 63 6f 70 65 64 7c 73 63 |scope|scoped|sc
00017a20: 72 6f 6c 6c 69 6e 67 7c 73 65 61 6d 6c 65 73 73 rolling|seamless
00017a30: 7c 73 65 6c 65 63 74 65 64 7c 73 68 61 70 65 7c |selected|shape|
00017a40: 73 69 7a 65 7c 73 69 7a 65 73 7c 73 6c 6f 74 7c size|sizes|slot|
00017a50: 73 70 61 6e 7c 73 70 65 6c 6c 43 68 65 63 6b 7c span|spellCheck|
00017a60: 73 72 63 7c 73 72 63 44 6f 63 7c 73 72 63 4c 61 src|srcDoc|srcLa
00017a70: 6e 67 7c 73 72 63 53 65 74 7c 73 74 61 72 74 7c ng|srcSet|start|
00017a80: 73 74 65 70 7c 73 74 79 6c 65 7c 73 75 6d 6d 61 step|style|summa
00017a90: 72 79 7c 74 61 62 49 6e 64 65 78 7c 74 61 72 67 ry|tabIndex|targ
00017aa0: 65 74 7c 74 69 74 6c 65 7c 74 72 61 6e 73 6c 61 et|title|transla
00017ab0: 74 65 7c 74 79 70 65 7c 75 73 65 4d 61 70 7c 76 te|type|useMap|v
00017ac0: 61 6c 75 65 7c 77 69 64 74 68 7c 77 6d 6f 64 65 alue|width|wmode
00017ad0: 7c 77 72 61 70 7c 61 62 6f 75 74 7c 64 61 74 61 |wrap|about|data
00017ae0: 74 79 70 65 7c 69 6e 6c 69 73 74 7c 70 72 65 66 type|inlist|pref
00017af0: 69 78 7c 70 72 6f 70 65 72 74 79 7c 72 65 73 6f ix|property|reso
00017b00: 75 72 63 65 7c 74 79 70 65 6f 66 7c 76 6f 63 61 urce|typeof|voca
00017b10: 62 7c 61 75 74 6f 43 61 70 69 74 61 6c 69 7a 65 b|autoCapitalize
00017b20: 7c 61 75 74 6f 43 6f 72 72 65 63 74 7c 61 75 74 |autoCorrect|aut
00017b30: 6f 53 61 76 65 7c 63 6f 6c 6f 72 7c 69 6e 63 72 oSave|color|incr
00017b40: 65 6d 65 6e 74 61 6c 7c 66 61 6c 6c 62 61 63 6b emental|fallback
00017b50: 7c 69 6e 65 72 74 7c 69 74 65 6d 50 72 6f 70 7c |inert|itemProp|
00017b60: 69 74 65 6d 53 63 6f 70 65 7c 69 74 65 6d 54 79 itemScope|itemTy
00017b70: 70 65 7c 69 74 65 6d 49 44 7c 69 74 65 6d 52 65 pe|itemID|itemRe
00017b80: 66 7c 6f 6e 7c 6f 70 74 69 6f 6e 7c 72 65 73 75 f|on|option|resu
00017b90: 6c 74 73 7c 73 65 63 75 72 69 74 79 7c 75 6e 73 lts|security|uns
00017ba0: 65 6c 65 63 74 61 62 6c 65 7c 61 63 63 65 6e 74 electable|accent
00017bb0: 48 65 69 67 68 74 7c 61 63 63 75 6d 75 6c 61 74 Height|accumulat
00017bc0: 65 7c 61 64 64 69 74 69 76 65 7c 61 6c 69 67 6e e|additive|align
00017bd0: 6d 65 6e 74 42 61 73 65 6c 69 6e 65 7c 61 6c 6c mentBaseline|all
00017be0: 6f 77 52 65 6f 72 64 65 72 7c 61 6c 70 68 61 62 owReorder|alphab
00017bf0: 65 74 69 63 7c 61 6d 70 6c 69 74 75 64 65 7c 61 etic|amplitude|a
00017c00: 72 61 62 69 63 46 6f 72 6d 7c 61 73 63 65 6e 74 rabicForm|ascent
00017c10: 7c 61 74 74 72 69 62 75 74 65 4e 61 6d 65 7c 61 |attributeName|a
00017c20: 74 74 72 69 62 75 74 65 54 79 70 65 7c 61 75 74 ttributeType|aut
00017c30: 6f 52 65 76 65 72 73 65 7c 61 7a 69 6d 75 74 68 oReverse|azimuth
00017c40: 7c 62 61 73 65 46 72 65 71 75 65 6e 63 79 7c 62 |baseFrequency|b
00017c50: 61 73 65 6c 69 6e 65 53 68 69 66 74 7c 62 61 73 aselineShift|bas
00017c60: 65 50 72 6f 66 69 6c 65 7c 62 62 6f 78 7c 62 65 eProfile|bbox|be
00017c70: 67 69 6e 7c 62 69 61 73 7c 62 79 7c 63 61 6c 63 gin|bias|by|calc
00017c80: 4d 6f 64 65 7c 63 61 70 48 65 69 67 68 74 7c 63 Mode|capHeight|c
00017c90: 6c 69 70 7c 63 6c 69 70 50 61 74 68 55 6e 69 74 lip|clipPathUnit
00017ca0: 73 7c 63 6c 69 70 50 61 74 68 7c 63 6c 69 70 52 s|clipPath|clipR
00017cb0: 75 6c 65 7c 63 6f 6c 6f 72 49 6e 74 65 72 70 6f ule|colorInterpo
00017cc0: 6c 61 74 69 6f 6e 7c 63 6f 6c 6f 72 49 6e 74 65 lation|colorInte
00017cd0: 72 70 6f 6c 61 74 69 6f 6e 46 69 6c 74 65 72 73 rpolationFilters
00017ce0: 7c 63 6f 6c 6f 72 50 72 6f 66 69 6c 65 7c 63 6f |colorProfile|co
00017cf0: 6c 6f 72 52 65 6e 64 65 72 69 6e 67 7c 63 6f 6e lorRendering|con
00017d00: 74 65 6e 74 53 63 72 69 70 74 54 79 70 65 7c 63 tentScriptType|c
00017d10: 6f 6e 74 65 6e 74 53 74 79 6c 65 54 79 70 65 7c ontentStyleType|
00017d20: 63 75 72 73 6f 72 7c 63 78 7c 63 79 7c 64 7c 64 cursor|cx|cy|d|d
00017d30: 65 63 65 6c 65 72 61 74 65 7c 64 65 73 63 65 6e ecelerate|descen
00017d40: 74 7c 64 69 66 66 75 73 65 43 6f 6e 73 74 61 6e t|diffuseConstan
00017d50: 74 7c 64 69 72 65 63 74 69 6f 6e 7c 64 69 73 70 t|direction|disp
00017d60: 6c 61 79 7c 64 69 76 69 73 6f 72 7c 64 6f 6d 69 lay|divisor|domi
00017d70: 6e 61 6e 74 42 61 73 65 6c 69 6e 65 7c 64 75 72 nantBaseline|dur
00017d80: 7c 64 78 7c 64 79 7c 65 64 67 65 4d 6f 64 65 7c |dx|dy|edgeMode|
00017d90: 65 6c 65 76 61 74 69 6f 6e 7c 65 6e 61 62 6c 65 elevation|enable
00017da0: 42 61 63 6b 67 72 6f 75 6e 64 7c 65 6e 64 7c 65 Background|end|e
00017db0: 78 70 6f 6e 65 6e 74 7c 65 78 74 65 72 6e 61 6c xponent|external
00017dc0: 52 65 73 6f 75 72 63 65 73 52 65 71 75 69 72 65 ResourcesRequire
00017dd0: 64 7c 66 69 6c 6c 7c 66 69 6c 6c 4f 70 61 63 69 d|fill|fillOpaci
00017de0: 74 79 7c 66 69 6c 6c 52 75 6c 65 7c 66 69 6c 74 ty|fillRule|filt
00017df0: 65 72 7c 66 69 6c 74 65 72 52 65 73 7c 66 69 6c er|filterRes|fil
00017e00: 74 65 72 55 6e 69 74 73 7c 66 6c 6f 6f 64 43 6f terUnits|floodCo
00017e10: 6c 6f 72 7c 66 6c 6f 6f 64 4f 70 61 63 69 74 79 lor|floodOpacity
00017e20: 7c 66 6f 63 75 73 61 62 6c 65 7c 66 6f 6e 74 46 |focusable|fontF
00017e30: 61 6d 69 6c 79 7c 66 6f 6e 74 53 69 7a 65 7c 66 amily|fontSize|f
00017e40: 6f 6e 74 53 69 7a 65 41 64 6a 75 73 74 7c 66 6f ontSizeAdjust|fo
00017e50: 6e 74 53 74 72 65 74 63 68 7c 66 6f 6e 74 53 74 ntStretch|fontSt
00017e60: 79 6c 65 7c 66 6f 6e 74 56 61 72 69 61 6e 74 7c yle|fontVariant|
00017e70: 66 6f 6e 74 57 65 69 67 68 74 7c 66 6f 72 6d 61 fontWeight|forma
00017e80: 74 7c 66 72 6f 6d 7c 66 72 7c 66 78 7c 66 79 7c t|from|fr|fx|fy|
00017e90: 67 31 7c 67 32 7c 67 6c 79 70 68 4e 61 6d 65 7c g1|g2|glyphName|
00017ea0: 67 6c 79 70 68 4f 72 69 65 6e 74 61 74 69 6f 6e glyphOrientation
00017eb0: 48 6f 72 69 7a 6f 6e 74 61 6c 7c 67 6c 79 70 68 Horizontal|glyph
00017ec0: 4f 72 69 65 6e 74 61 74 69 6f 6e 56 65 72 74 69 OrientationVerti
00017ed0: 63 61 6c 7c 67 6c 79 70 68 52 65 66 7c 67 72 61 cal|glyphRef|gra
00017ee0: 64 69 65 6e 74 54 72 61 6e 73 66 6f 72 6d 7c 67 dientTransform|g
00017ef0: 72 61 64 69 65 6e 74 55 6e 69 74 73 7c 68 61 6e radientUnits|han
00017f00: 67 69 6e 67 7c 68 6f 72 69 7a 41 64 76 58 7c 68 ging|horizAdvX|h
00017f10: 6f 72 69 7a 4f 72 69 67 69 6e 58 7c 69 64 65 6f orizOriginX|ideo
00017f20: 67 72 61 70 68 69 63 7c 69 6d 61 67 65 52 65 6e graphic|imageRen
00017f30: 64 65 72 69 6e 67 7c 69 6e 7c 69 6e 32 7c 69 6e dering|in|in2|in
00017f40: 74 65 72 63 65 70 74 7c 6b 7c 6b 31 7c 6b 32 7c tercept|k|k1|k2|
00017f50: 6b 33 7c 6b 34 7c 6b 65 72 6e 65 6c 4d 61 74 72 k3|k4|kernelMatr
00017f60: 69 78 7c 6b 65 72 6e 65 6c 55 6e 69 74 4c 65 6e ix|kernelUnitLen
00017f70: 67 74 68 7c 6b 65 72 6e 69 6e 67 7c 6b 65 79 50 gth|kerning|keyP
00017f80: 6f 69 6e 74 73 7c 6b 65 79 53 70 6c 69 6e 65 73 oints|keySplines
00017f90: 7c 6b 65 79 54 69 6d 65 73 7c 6c 65 6e 67 74 68 |keyTimes|length
00017fa0: 41 64 6a 75 73 74 7c 6c 65 74 74 65 72 53 70 61 Adjust|letterSpa
00017fb0: 63 69 6e 67 7c 6c 69 67 68 74 69 6e 67 43 6f 6c cing|lightingCol
00017fc0: 6f 72 7c 6c 69 6d 69 74 69 6e 67 43 6f 6e 65 41 or|limitingConeA
00017fd0: 6e 67 6c 65 7c 6c 6f 63 61 6c 7c 6d 61 72 6b 65 ngle|local|marke
00017fe0: 72 45 6e 64 7c 6d 61 72 6b 65 72 4d 69 64 7c 6d rEnd|markerMid|m
00017ff0: 61 72 6b 65 72 53 74 61 72 74 7c 6d 61 72 6b 65 arkerStart|marke
00018000: 72 48 65 69 67 68 74 7c 6d 61 72 6b 65 72 55 6e rHeight|markerUn
00018010: 69 74 73 7c 6d 61 72 6b 65 72 57 69 64 74 68 7c its|markerWidth|
00018020: 6d 61 73 6b 7c 6d 61 73 6b 43 6f 6e 74 65 6e 74 mask|maskContent
00018030: 55 6e 69 74 73 7c 6d 61 73 6b 55 6e 69 74 73 7c Units|maskUnits|
00018040: 6d 61 74 68 65 6d 61 74 69 63 61 6c 7c 6d 6f 64 mathematical|mod
00018050: 65 7c 6e 75 6d 4f 63 74 61 76 65 73 7c 6f 66 66 e|numOctaves|off
00018060: 73 65 74 7c 6f 70 61 63 69 74 79 7c 6f 70 65 72 set|opacity|oper
00018070: 61 74 6f 72 7c 6f 72 64 65 72 7c 6f 72 69 65 6e ator|order|orien
00018080: 74 7c 6f 72 69 65 6e 74 61 74 69 6f 6e 7c 6f 72 t|orientation|or
00018090: 69 67 69 6e 7c 6f 76 65 72 66 6c 6f 77 7c 6f 76 igin|overflow|ov
000180a0: 65 72 6c 69 6e 65 50 6f 73 69 74 69 6f 6e 7c 6f erlinePosition|o
000180b0: 76 65 72 6c 69 6e 65 54 68 69 63 6b 6e 65 73 73 verlineThickness
000180c0: 7c 70 61 6e 6f 73 65 31 7c 70 61 69 6e 74 4f 72 |panose1|paintOr
000180d0: 64 65 72 7c 70 61 74 68 4c 65 6e 67 74 68 7c 70 der|pathLength|p
000180e0: 61 74 74 65 72 6e 43 6f 6e 74 65 6e 74 55 6e 69 atternContentUni
000180f0: 74 73 7c 70 61 74 74 65 72 6e 54 72 61 6e 73 66 ts|patternTransf
00018100: 6f 72 6d 7c 70 61 74 74 65 72 6e 55 6e 69 74 73 orm|patternUnits
00018110: 7c 70 6f 69 6e 74 65 72 45 76 65 6e 74 73 7c 70 |pointerEvents|p
00018120: 6f 69 6e 74 73 7c 70 6f 69 6e 74 73 41 74 58 7c oints|pointsAtX|
00018130: 70 6f 69 6e 74 73 41 74 59 7c 70 6f 69 6e 74 73 pointsAtY|points
00018140: 41 74 5a 7c 70 72 65 73 65 72 76 65 41 6c 70 68 AtZ|preserveAlph
00018150: 61 7c 70 72 65 73 65 72 76 65 41 73 70 65 63 74 a|preserveAspect
00018160: 52 61 74 69 6f 7c 70 72 69 6d 69 74 69 76 65 55 Ratio|primitiveU
00018170: 6e 69 74 73 7c 72 7c 72 61 64 69 75 73 7c 72 65 nits|r|radius|re
00018180: 66 58 7c 72 65 66 59 7c 72 65 6e 64 65 72 69 6e fX|refY|renderin
00018190: 67 49 6e 74 65 6e 74 7c 72 65 70 65 61 74 43 6f gIntent|repeatCo
000181a0: 75 6e 74 7c 72 65 70 65 61 74 44 75 72 7c 72 65 unt|repeatDur|re
000181b0: 71 75 69 72 65 64 45 78 74 65 6e 73 69 6f 6e 73 quiredExtensions
000181c0: 7c 72 65 71 75 69 72 65 64 46 65 61 74 75 72 65 |requiredFeature
000181d0: 73 7c 72 65 73 74 61 72 74 7c 72 65 73 75 6c 74 s|restart|result
000181e0: 7c 72 6f 74 61 74 65 7c 72 78 7c 72 79 7c 73 63 |rotate|rx|ry|sc
000181f0: 61 6c 65 7c 73 65 65 64 7c 73 68 61 70 65 52 65 ale|seed|shapeRe
00018200: 6e 64 65 72 69 6e 67 7c 73 6c 6f 70 65 7c 73 70 ndering|slope|sp
00018210: 61 63 69 6e 67 7c 73 70 65 63 75 6c 61 72 43 6f acing|specularCo
00018220: 6e 73 74 61 6e 74 7c 73 70 65 63 75 6c 61 72 45 nstant|specularE
00018230: 78 70 6f 6e 65 6e 74 7c 73 70 65 65 64 7c 73 70 xponent|speed|sp
00018240: 72 65 61 64 4d 65 74 68 6f 64 7c 73 74 61 72 74 readMethod|start
00018250: 4f 66 66 73 65 74 7c 73 74 64 44 65 76 69 61 74 Offset|stdDeviat
00018260: 69 6f 6e 7c 73 74 65 6d 68 7c 73 74 65 6d 76 7c ion|stemh|stemv|
00018270: 73 74 69 74 63 68 54 69 6c 65 73 7c 73 74 6f 70 stitchTiles|stop
00018280: 43 6f 6c 6f 72 7c 73 74 6f 70 4f 70 61 63 69 74 Color|stopOpacit
00018290: 79 7c 73 74 72 69 6b 65 74 68 72 6f 75 67 68 50 y|strikethroughP
000182a0: 6f 73 69 74 69 6f 6e 7c 73 74 72 69 6b 65 74 68 osition|striketh
000182b0: 72 6f 75 67 68 54 68 69 63 6b 6e 65 73 73 7c 73 roughThickness|s
000182c0: 74 72 69 6e 67 7c 73 74 72 6f 6b 65 7c 73 74 72 tring|stroke|str
000182d0: 6f 6b 65 44 61 73 68 61 72 72 61 79 7c 73 74 72 okeDasharray|str
000182e0: 6f 6b 65 44 61 73 68 6f 66 66 73 65 74 7c 73 74 okeDashoffset|st
000182f0: 72 6f 6b 65 4c 69 6e 65 63 61 70 7c 73 74 72 6f rokeLinecap|stro
00018300: 6b 65 4c 69 6e 65 6a 6f 69 6e 7c 73 74 72 6f 6b keLinejoin|strok
00018310: 65 4d 69 74 65 72 6c 69 6d 69 74 7c 73 74 72 6f eMiterlimit|stro
00018320: 6b 65 4f 70 61 63 69 74 79 7c 73 74 72 6f 6b 65 keOpacity|stroke
00018330: 57 69 64 74 68 7c 73 75 72 66 61 63 65 53 63 61 Width|surfaceSca
00018340: 6c 65 7c 73 79 73 74 65 6d 4c 61 6e 67 75 61 67 le|systemLanguag
00018350: 65 7c 74 61 62 6c 65 56 61 6c 75 65 73 7c 74 61 e|tableValues|ta
00018360: 72 67 65 74 58 7c 74 61 72 67 65 74 59 7c 74 65 rgetX|targetY|te
00018370: 78 74 41 6e 63 68 6f 72 7c 74 65 78 74 44 65 63 xtAnchor|textDec
00018380: 6f 72 61 74 69 6f 6e 7c 74 65 78 74 52 65 6e 64 oration|textRend
00018390: 65 72 69 6e 67 7c 74 65 78 74 4c 65 6e 67 74 68 ering|textLength
000183a0: 7c 74 6f 7c 74 72 61 6e 73 66 6f 72 6d 7c 75 31 |to|transform|u1
000183b0: 7c 75 32 7c 75 6e 64 65 72 6c 69 6e 65 50 6f 73 |u2|underlinePos
000183c0: 69 74 69 6f 6e 7c 75 6e 64 65 72 6c 69 6e 65 54 ition|underlineT
000183d0: 68 69 63 6b 6e 65 73 73 7c 75 6e 69 63 6f 64 65 hickness|unicode
000183e0: 7c 75 6e 69 63 6f 64 65 42 69 64 69 7c 75 6e 69 |unicodeBidi|uni
000183f0: 63 6f 64 65 52 61 6e 67 65 7c 75 6e 69 74 73 50 codeRange|unitsP
00018400: 65 72 45 6d 7c 76 41 6c 70 68 61 62 65 74 69 63 erEm|vAlphabetic
00018410: 7c 76 48 61 6e 67 69 6e 67 7c 76 49 64 65 6f 67 |vHanging|vIdeog
00018420: 72 61 70 68 69 63 7c 76 4d 61 74 68 65 6d 61 74 raphic|vMathemat
00018430: 69 63 61 6c 7c 76 61 6c 75 65 73 7c 76 65 63 74 ical|values|vect
00018440: 6f 72 45 66 66 65 63 74 7c 76 65 72 73 69 6f 6e orEffect|version
00018450: 7c 76 65 72 74 41 64 76 59 7c 76 65 72 74 4f 72 |vertAdvY|vertOr
00018460: 69 67 69 6e 58 7c 76 65 72 74 4f 72 69 67 69 6e iginX|vertOrigin
00018470: 59 7c 76 69 65 77 42 6f 78 7c 76 69 65 77 54 61 Y|viewBox|viewTa
00018480: 72 67 65 74 7c 76 69 73 69 62 69 6c 69 74 79 7c rget|visibility|
00018490: 77 69 64 74 68 73 7c 77 6f 72 64 53 70 61 63 69 widths|wordSpaci
000184a0: 6e 67 7c 77 72 69 74 69 6e 67 4d 6f 64 65 7c 78 ng|writingMode|x
000184b0: 7c 78 48 65 69 67 68 74 7c 78 31 7c 78 32 7c 78 |xHeight|x1|x2|x
000184c0: 43 68 61 6e 6e 65 6c 53 65 6c 65 63 74 6f 72 7c ChannelSelector|
000184d0: 78 6c 69 6e 6b 41 63 74 75 61 74 65 7c 78 6c 69 xlinkActuate|xli
000184e0: 6e 6b 41 72 63 72 6f 6c 65 7c 78 6c 69 6e 6b 48 nkArcrole|xlinkH
000184f0: 72 65 66 7c 78 6c 69 6e 6b 52 6f 6c 65 7c 78 6c ref|xlinkRole|xl
00018500: 69 6e 6b 53 68 6f 77 7c 78 6c 69 6e 6b 54 69 74 inkShow|xlinkTit
00018510: 6c 65 7c 78 6c 69 6e 6b 54 79 70 65 7c 78 6d 6c le|xlinkType|xml
00018520: 42 61 73 65 7c 78 6d 6c 6e 73 7c 78 6d 6c 6e 73 Base|xmlns|xmlns
00018530: 58 6c 69 6e 6b 7c 78 6d 6c 4c 61 6e 67 7c 78 6d Xlink|xmlLang|xm
00018540: 6c 53 70 61 63 65 7c 79 7c 79 31 7c 79 32 7c 79 lSpace|y|y1|y2|y
00018550: 43 68 61 6e 6e 65 6c 53 65 6c 65 63 74 6f 72 7c ChannelSelector|
00018560: 7a 7c 7a 6f 6f 6d 41 6e 64 50 61 6e 7c 66 6f 72 z|zoomAndPan|for
00018570: 7c 63 6c 61 73 73 7c 61 75 74 6f 66 6f 63 75 73 |class|autofocus
00018580: 29 7c 28 28 5b 44 64 5d 5b 41 61 5d 5b 54 74 5d )|(([Dd][Aa][Tt]
00018590: 5b 41 61 5d 7c 5b 41 61 5d 5b 52 72 5d 5b 49 69 [Aa]|[Aa][Rr][Ii
000185a0: 5d 5b 41 61 5d 7c 78 29 2d 2e 2a 29 29 24 2f 2c ][Aa]|x)-.*))$/,
000185b0: 75 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 76 61 u=function(e){va
000185c0: 72 20 74 3d 4f 62 6a 65 63 74 2e 63 72 65 61 74 r t=Object.creat
000185d0: 65 28 6e 75 6c 6c 29 3b 72 65 74 75 72 6e 20 66 e(null);return f
000185e0: 75 6e 63 74 69 6f 6e 28 6e 29 7b 72 65 74 75 72 unction(n){retur
000185f0: 6e 20 76 6f 69 64 20 30 3d 3d 3d 74 5b 6e 5d 26 n void 0===t[n]&
00018600: 26 28 74 5b 6e 5d 3d 65 28 6e 29 29 2c 74 5b 6e &(t[n]=e(n)),t[n
00018610: 5d 7d 7d 28 28 66 75 6e 63 74 69 6f 6e 28 65 29 ]}}((function(e)
00018620: 7b 72 65 74 75 72 6e 20 63 2e 74 65 73 74 28 65 {return c.test(e
00018630: 29 7c 7c 31 31 31 3d 3d 3d 65 2e 63 68 61 72 43 )||111===e.charC
00018640: 6f 64 65 41 74 28 30 29 26 26 31 31 30 3d 3d 3d odeAt(0)&&110===
00018650: 65 2e 63 68 61 72 43 6f 64 65 41 74 28 31 29 26 e.charCodeAt(1)&
00018660: 26 65 2e 63 68 61 72 43 6f 64 65 41 74 28 32 29 &e.charCodeAt(2)
00018670: 3c 39 31 7d 29 29 2c 64 3d 6e 28 35 32 37 38 38 <91})),d=n(52788
00018680: 29 2c 70 3d 6e 2e 6e 28 64 29 2c 66 3d 6e 28 31 ),p=n.n(d),f=n(1
00018690: 30 38 37 39 29 3b 66 75 6e 63 74 69 6f 6e 20 6d 0879);function m
000186a0: 28 29 7b 72 65 74 75 72 6e 28 6d 3d 4f 62 6a 65 (){return(m=Obje
000186b0: 63 74 2e 61 73 73 69 67 6e 7c 7c 66 75 6e 63 74 ct.assign||funct
000186c0: 69 6f 6e 28 65 29 7b 66 6f 72 28 76 61 72 20 74 ion(e){for(var t
000186d0: 3d 31 3b 74 3c 61 72 67 75 6d 65 6e 74 73 2e 6c =1;t<arguments.l
000186e0: 65 6e 67 74 68 3b 74 2b 2b 29 7b 76 61 72 20 6e ength;t++){var n
000186f0: 3d 61 72 67 75 6d 65 6e 74 73 5b 74 5d 3b 66 6f =arguments[t];fo
00018700: 72 28 76 61 72 20 72 20 69 6e 20 6e 29 4f 62 6a r(var r in n)Obj
00018710: 65 63 74 2e 70 72 6f 74 6f 74 79 70 65 2e 68 61 ect.prototype.ha
00018720: 73 4f 77 6e 50 72 6f 70 65 72 74 79 2e 63 61 6c sOwnProperty.cal
00018730: 6c 28 6e 2c 72 29 26 26 28 65 5b 72 5d 3d 6e 5b l(n,r)&&(e[r]=n[
00018740: 72 5d 29 7d 72 65 74 75 72 6e 20 65 7d 29 2e 61 r])}return e}).a
00018750: 70 70 6c 79 28 74 68 69 73 2c 61 72 67 75 6d 65 pply(this,argume
00018760: 6e 74 73 29 7d 76 61 72 20 68 3d 66 75 6e 63 74 nts)}var h=funct
00018770: 69 6f 6e 28 65 2c 74 29 7b 66 6f 72 28 76 61 72 ion(e,t){for(var
00018780: 20 6e 3d 5b 65 5b 30 5d 5d 2c 72 3d 30 2c 6f 3d n=[e[0]],r=0,o=
00018790: 74 2e 6c 65 6e 67 74 68 3b 72 3c 6f 3b 72 2b 3d t.length;r<o;r+=
000187a0: 31 29 6e 2e 70 75 73 68 28 74 5b 72 5d 2c 65 5b 1)n.push(t[r],e[
000187b0: 72 2b 31 5d 29 3b 72 65 74 75 72 6e 20 6e 7d 2c r+1]);return n},
000187c0: 67 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 g=function(e){re
000187d0: 74 75 72 6e 20 6e 75 6c 6c 21 3d 3d 65 26 26 22 turn null!==e&&"
000187e0: 6f 62 6a 65 63 74 22 3d 3d 74 79 70 65 6f 66 20 object"==typeof
000187f0: 65 26 26 22 5b 6f 62 6a 65 63 74 20 4f 62 6a 65 e&&"[object Obje
00018800: 63 74 5d 22 3d 3d 3d 28 65 2e 74 6f 53 74 72 69 ct]"===(e.toStri
00018810: 6e 67 3f 65 2e 74 6f 53 74 72 69 6e 67 28 29 3a ng?e.toString():
00018820: 4f 62 6a 65 63 74 2e 70 72 6f 74 6f 74 79 70 65 Object.prototype
00018830: 2e 74 6f 53 74 72 69 6e 67 2e 63 61 6c 6c 28 65 .toString.call(e
00018840: 29 29 26 26 21 28 30 2c 72 2e 74 79 70 65 4f 66 ))&&!(0,r.typeOf
00018850: 29 28 65 29 7d 2c 62 3d 4f 62 6a 65 63 74 2e 66 )(e)},b=Object.f
00018860: 72 65 65 7a 65 28 5b 5d 29 2c 76 3d 4f 62 6a 65 reeze([]),v=Obje
00018870: 63 74 2e 66 72 65 65 7a 65 28 7b 7d 29 3b 66 75 ct.freeze({});fu
00018880: 6e 63 74 69 6f 6e 20 79 28 65 29 7b 72 65 74 75 nction y(e){retu
00018890: 72 6e 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 rn"function"==ty
000188a0: 70 65 6f 66 20 65 7d 66 75 6e 63 74 69 6f 6e 20 peof e}function
000188b0: 45 28 65 29 7b 72 65 74 75 72 6e 20 65 2e 64 69 E(e){return e.di
000188c0: 73 70 6c 61 79 4e 61 6d 65 7c 7c 65 2e 6e 61 6d splayName||e.nam
000188d0: 65 7c 7c 22 43 6f 6d 70 6f 6e 65 6e 74 22 7d 66 e||"Component"}f
000188e0: 75 6e 63 74 69 6f 6e 20 5f 28 65 29 7b 72 65 74 unction _(e){ret
000188f0: 75 72 6e 20 65 26 26 22 73 74 72 69 6e 67 22 3d urn e&&"string"=
00018900: 3d 74 79 70 65 6f 66 20 65 2e 73 74 79 6c 65 64 =typeof e.styled
00018910: 43 6f 6d 70 6f 6e 65 6e 74 49 64 7d 76 61 72 20 ComponentId}var
00018920: 53 3d 76 6f 69 64 20 30 21 3d 3d 66 26 26 76 6f S=void 0!==f&&vo
00018930: 69 64 20 30 21 3d 3d 66 2e 65 6e 76 26 26 28 66 id 0!==f.env&&(f
00018940: 2e 65 6e 76 2e 52 45 41 43 54 5f 41 50 50 5f 53 .env.REACT_APP_S
00018950: 43 5f 41 54 54 52 7c 7c 66 2e 65 6e 76 2e 53 43 C_ATTR||f.env.SC
00018960: 5f 41 54 54 52 29 7c 7c 22 64 61 74 61 2d 73 74 _ATTR)||"data-st
00018970: 79 6c 65 64 22 2c 77 3d 22 35 2e 33 2e 31 30 22 yled",w="5.3.10"
00018980: 2c 54 3d 22 75 6e 64 65 66 69 6e 65 64 22 21 3d ,T="undefined"!=
00018990: 74 79 70 65 6f 66 20 77 69 6e 64 6f 77 26 26 22 typeof window&&"
000189a0: 48 54 4d 4c 45 6c 65 6d 65 6e 74 22 69 6e 20 77 HTMLElement"in w
000189b0: 69 6e 64 6f 77 2c 78 3d 42 6f 6f 6c 65 61 6e 28 indow,x=Boolean(
000189c0: 22 62 6f 6f 6c 65 61 6e 22 3d 3d 74 79 70 65 6f "boolean"==typeo
000189d0: 66 20 53 43 5f 44 49 53 41 42 4c 45 5f 53 50 45 f SC_DISABLE_SPE
000189e0: 45 44 59 3f 53 43 5f 44 49 53 41 42 4c 45 5f 53 EDY?SC_DISABLE_S
000189f0: 50 45 45 44 59 3a 76 6f 69 64 20 30 21 3d 3d 66 PEEDY:void 0!==f
00018a00: 26 26 76 6f 69 64 20 30 21 3d 3d 66 2e 65 6e 76 &&void 0!==f.env
00018a10: 26 26 28 76 6f 69 64 20 30 21 3d 3d 66 2e 65 6e &&(void 0!==f.en
00018a20: 76 2e 52 45 41 43 54 5f 41 50 50 5f 53 43 5f 44 v.REACT_APP_SC_D
00018a30: 49 53 41 42 4c 45 5f 53 50 45 45 44 59 26 26 22 ISABLE_SPEEDY&&"
00018a40: 22 21 3d 3d 66 2e 65 6e 76 2e 52 45 41 43 54 5f "!==f.env.REACT_
00018a50: 41 50 50 5f 53 43 5f 44 49 53 41 42 4c 45 5f 53 APP_SC_DISABLE_S
00018a60: 50 45 45 44 59 3f 22 66 61 6c 73 65 22 21 3d 3d PEEDY?"false"!==
00018a70: 66 2e 65 6e 76 2e 52 45 41 43 54 5f 41 50 50 5f f.env.REACT_APP_
00018a80: 53 43 5f 44 49 53 41 42 4c 45 5f 53 50 45 45 44 SC_DISABLE_SPEED
00018a90: 59 26 26 66 2e 65 6e 76 2e 52 45 41 43 54 5f 41 Y&&f.env.REACT_A
00018aa0: 50 50 5f 53 43 5f 44 49 53 41 42 4c 45 5f 53 50 PP_SC_DISABLE_SP
00018ab0: 45 45 44 59 3a 76 6f 69 64 20 30 21 3d 3d 66 2e EEDY:void 0!==f.
00018ac0: 65 6e 76 2e 53 43 5f 44 49 53 41 42 4c 45 5f 53 env.SC_DISABLE_S
00018ad0: 50 45 45 44 59 26 26 22 22 21 3d 3d 66 2e 65 6e PEEDY&&""!==f.en
00018ae0: 76 2e 53 43 5f 44 49 53 41 42 4c 45 5f 53 50 45 v.SC_DISABLE_SPE
00018af0: 45 44 59 26 26 28 22 66 61 6c 73 65 22 21 3d 3d EDY&&("false"!==
00018b00: 66 2e 65 6e 76 2e 53 43 5f 44 49 53 41 42 4c 45 f.env.SC_DISABLE
00018b10: 5f 53 50 45 45 44 59 26 26 66 2e 65 6e 76 2e 53 _SPEEDY&&f.env.S
00018b20: 43 5f 44 49 53 41 42 4c 45 5f 53 50 45 45 44 59 C_DISABLE_SPEEDY
00018b30: 29 29 29 2c 41 3d 7b 7d 3b 66 75 6e 63 74 69 6f ))),A={};functio
00018b40: 6e 20 43 28 65 29 7b 66 6f 72 28 76 61 72 20 74 n C(e){for(var t
00018b50: 3d 61 72 67 75 6d 65 6e 74 73 2e 6c 65 6e 67 74 =arguments.lengt
00018b60: 68 2c 6e 3d 6e 65 77 20 41 72 72 61 79 28 74 3e h,n=new Array(t>
00018b70: 31 3f 74 2d 31 3a 30 29 2c 72 3d 31 3b 72 3c 74 1?t-1:0),r=1;r<t
00018b80: 3b 72 2b 2b 29 6e 5b 72 2d 31 5d 3d 61 72 67 75 ;r++)n[r-1]=argu
00018b90: 6d 65 6e 74 73 5b 72 5d 3b 74 68 72 6f 77 20 6e ments[r];throw n
00018ba0: 65 77 20 45 72 72 6f 72 28 22 41 6e 20 65 72 72 ew Error("An err
00018bb0: 6f 72 20 6f 63 63 75 72 72 65 64 2e 20 53 65 65 or occurred. See
00018bc0: 20 68 74 74 70 73 3a 2f 2f 67 69 74 2e 69 6f 2f https://git.io/
00018bd0: 4a 55 49 61 45 23 22 2b 65 2b 22 20 66 6f 72 20 JUIaE#"+e+" for
00018be0: 6d 6f 72 65 20 69 6e 66 6f 72 6d 61 74 69 6f 6e more information
00018bf0: 2e 22 2b 28 6e 2e 6c 65 6e 67 74 68 3e 30 3f 22 ."+(n.length>0?"
00018c00: 20 41 72 67 73 3a 20 22 2b 6e 2e 6a 6f 69 6e 28 Args: "+n.join(
00018c10: 22 2c 20 22 29 3a 22 22 29 29 7d 76 61 72 20 52 ", "):""))}var R
00018c20: 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 66 75 6e 63 =function(){func
00018c30: 74 69 6f 6e 20 65 28 65 29 7b 74 68 69 73 2e 67 tion e(e){this.g
00018c40: 72 6f 75 70 53 69 7a 65 73 3d 6e 65 77 20 55 69 roupSizes=new Ui
00018c50: 6e 74 33 32 41 72 72 61 79 28 35 31 32 29 2c 74 nt32Array(512),t
00018c60: 68 69 73 2e 6c 65 6e 67 74 68 3d 35 31 32 2c 74 his.length=512,t
00018c70: 68 69 73 2e 74 61 67 3d 65 7d 76 61 72 20 74 3d his.tag=e}var t=
00018c80: 65 2e 70 72 6f 74 6f 74 79 70 65 3b 72 65 74 75 e.prototype;retu
00018c90: 72 6e 20 74 2e 69 6e 64 65 78 4f 66 47 72 6f 75 rn t.indexOfGrou
00018ca0: 70 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 66 6f p=function(e){fo
00018cb0: 72 28 76 61 72 20 74 3d 30 2c 6e 3d 30 3b 6e 3c r(var t=0,n=0;n<
00018cc0: 65 3b 6e 2b 2b 29 74 2b 3d 74 68 69 73 2e 67 72 e;n++)t+=this.gr
00018cd0: 6f 75 70 53 69 7a 65 73 5b 6e 5d 3b 72 65 74 75 oupSizes[n];retu
00018ce0: 72 6e 20 74 7d 2c 74 2e 69 6e 73 65 72 74 52 75 rn t},t.insertRu
00018cf0: 6c 65 73 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 les=function(e,t
00018d00: 29 7b 69 66 28 65 3e 3d 74 68 69 73 2e 67 72 6f ){if(e>=this.gro
00018d10: 75 70 53 69 7a 65 73 2e 6c 65 6e 67 74 68 29 7b upSizes.length){
00018d20: 66 6f 72 28 76 61 72 20 6e 3d 74 68 69 73 2e 67 for(var n=this.g
00018d30: 72 6f 75 70 53 69 7a 65 73 2c 72 3d 6e 2e 6c 65 roupSizes,r=n.le
00018d40: 6e 67 74 68 2c 6f 3d 72 3b 65 3e 3d 6f 3b 29 28 ngth,o=r;e>=o;)(
00018d50: 6f 3c 3c 3d 31 29 3c 30 26 26 43 28 31 36 2c 22 o<<=1)<0&&C(16,"
00018d60: 22 2b 65 29 3b 74 68 69 73 2e 67 72 6f 75 70 53 "+e);this.groupS
00018d70: 69 7a 65 73 3d 6e 65 77 20 55 69 6e 74 33 32 41 izes=new Uint32A
00018d80: 72 72 61 79 28 6f 29 2c 74 68 69 73 2e 67 72 6f rray(o),this.gro
00018d90: 75 70 53 69 7a 65 73 2e 73 65 74 28 6e 29 2c 74 upSizes.set(n),t
00018da0: 68 69 73 2e 6c 65 6e 67 74 68 3d 6f 3b 66 6f 72 his.length=o;for
00018db0: 28 76 61 72 20 69 3d 72 3b 69 3c 6f 3b 69 2b 2b (var i=r;i<o;i++
00018dc0: 29 74 68 69 73 2e 67 72 6f 75 70 53 69 7a 65 73 )this.groupSizes
00018dd0: 5b 69 5d 3d 30 7d 66 6f 72 28 76 61 72 20 61 3d [i]=0}for(var a=
00018de0: 74 68 69 73 2e 69 6e 64 65 78 4f 66 47 72 6f 75 this.indexOfGrou
00018df0: 70 28 65 2b 31 29 2c 73 3d 30 2c 6c 3d 74 2e 6c p(e+1),s=0,l=t.l
00018e00: 65 6e 67 74 68 3b 73 3c 6c 3b 73 2b 2b 29 74 68 ength;s<l;s++)th
00018e10: 69 73 2e 74 61 67 2e 69 6e 73 65 72 74 52 75 6c is.tag.insertRul
00018e20: 65 28 61 2c 74 5b 73 5d 29 26 26 28 74 68 69 73 e(a,t[s])&&(this
00018e30: 2e 67 72 6f 75 70 53 69 7a 65 73 5b 65 5d 2b 2b .groupSizes[e]++
00018e40: 2c 61 2b 2b 29 7d 2c 74 2e 63 6c 65 61 72 47 72 ,a++)},t.clearGr
00018e50: 6f 75 70 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b oup=function(e){
00018e60: 69 66 28 65 3c 74 68 69 73 2e 6c 65 6e 67 74 68 if(e<this.length
00018e70: 29 7b 76 61 72 20 74 3d 74 68 69 73 2e 67 72 6f ){var t=this.gro
00018e80: 75 70 53 69 7a 65 73 5b 65 5d 2c 6e 3d 74 68 69 upSizes[e],n=thi
00018e90: 73 2e 69 6e 64 65 78 4f 66 47 72 6f 75 70 28 65 s.indexOfGroup(e
00018ea0: 29 2c 72 3d 6e 2b 74 3b 74 68 69 73 2e 67 72 6f ),r=n+t;this.gro
00018eb0: 75 70 53 69 7a 65 73 5b 65 5d 3d 30 3b 66 6f 72 upSizes[e]=0;for
00018ec0: 28 76 61 72 20 6f 3d 6e 3b 6f 3c 72 3b 6f 2b 2b (var o=n;o<r;o++
00018ed0: 29 74 68 69 73 2e 74 61 67 2e 64 65 6c 65 74 65 )this.tag.delete
00018ee0: 52 75 6c 65 28 6e 29 7d 7d 2c 74 2e 67 65 74 47 Rule(n)}},t.getG
00018ef0: 72 6f 75 70 3d 66 75 6e 63 74 69 6f 6e 28 65 29 roup=function(e)
00018f00: 7b 76 61 72 20 74 3d 22 22 3b 69 66 28 65 3e 3d {var t="";if(e>=
00018f10: 74 68 69 73 2e 6c 65 6e 67 74 68 7c 7c 30 3d 3d this.length||0==
00018f20: 3d 74 68 69 73 2e 67 72 6f 75 70 53 69 7a 65 73 =this.groupSizes
00018f30: 5b 65 5d 29 72 65 74 75 72 6e 20 74 3b 66 6f 72 [e])return t;for
00018f40: 28 76 61 72 20 6e 3d 74 68 69 73 2e 67 72 6f 75 (var n=this.grou
00018f50: 70 53 69 7a 65 73 5b 65 5d 2c 72 3d 74 68 69 73 pSizes[e],r=this
00018f60: 2e 69 6e 64 65 78 4f 66 47 72 6f 75 70 28 65 29 .indexOfGroup(e)
00018f70: 2c 6f 3d 72 2b 6e 2c 69 3d 72 3b 69 3c 6f 3b 69 ,o=r+n,i=r;i<o;i
00018f80: 2b 2b 29 74 2b 3d 74 68 69 73 2e 74 61 67 2e 67 ++)t+=this.tag.g
00018f90: 65 74 52 75 6c 65 28 69 29 2b 22 2f 2a 21 73 63 etRule(i)+"/*!sc
00018fa0: 2a 2f 5c 6e 22 3b 72 65 74 75 72 6e 20 74 7d 2c */\n";return t},
00018fb0: 65 7d 28 29 2c 6b 3d 6e 65 77 20 4d 61 70 2c 4f e}(),k=new Map,O
00018fc0: 3d 6e 65 77 20 4d 61 70 2c 4e 3d 31 2c 49 3d 66 =new Map,N=1,I=f
00018fd0: 75 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 6b 2e unction(e){if(k.
00018fe0: 68 61 73 28 65 29 29 72 65 74 75 72 6e 20 6b 2e has(e))return k.
00018ff0: 67 65 74 28 65 29 3b 66 6f 72 28 3b 4f 2e 68 61 get(e);for(;O.ha
00019000: 73 28 4e 29 3b 29 4e 2b 2b 3b 76 61 72 20 74 3d s(N);)N++;var t=
00019010: 4e 2b 2b 3b 72 65 74 75 72 6e 20 6b 2e 73 65 74 N++;return k.set
00019020: 28 65 2c 74 29 2c 4f 2e 73 65 74 28 74 2c 65 29 (e,t),O.set(t,e)
00019030: 2c 74 7d 2c 4d 3d 66 75 6e 63 74 69 6f 6e 28 65 ,t},M=function(e
00019040: 29 7b 72 65 74 75 72 6e 20 4f 2e 67 65 74 28 65 ){return O.get(e
00019050: 29 7d 2c 50 3d 66 75 6e 63 74 69 6f 6e 28 65 2c )},P=function(e,
00019060: 74 29 7b 74 3e 3d 4e 26 26 28 4e 3d 74 2b 31 29 t){t>=N&&(N=t+1)
00019070: 2c 6b 2e 73 65 74 28 65 2c 74 29 2c 4f 2e 73 65 ,k.set(e,t),O.se
00019080: 74 28 74 2c 65 29 7d 2c 44 3d 22 73 74 79 6c 65 t(t,e)},D="style
00019090: 5b 22 2b 53 2b 27 5d 5b 64 61 74 61 2d 73 74 79 ["+S+'][data-sty
000190a0: 6c 65 64 2d 76 65 72 73 69 6f 6e 3d 22 35 2e 33 led-version="5.3
000190b0: 2e 31 30 22 5d 27 2c 4c 3d 6e 65 77 20 52 65 67 .10"]',L=new Reg
000190c0: 45 78 70 28 22 5e 22 2b 53 2b 27 5c 5c 2e 67 28 Exp("^"+S+'\\.g(
000190d0: 5c 5c 64 2b 29 5c 5c 5b 69 64 3d 22 28 5b 5c 5c \\d+)\\[id="([\\
000190e0: 77 5c 5c 64 2d 5d 2b 29 22 5c 5c 5d 2e 2a 3f 22 w\\d-]+)"\\].*?"
000190f0: 28 5b 5e 22 5d 2a 29 27 29 2c 46 3d 66 75 6e 63 ([^"]*)'),F=func
00019100: 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 66 6f 72 28 tion(e,t,n){for(
00019110: 76 61 72 20 72 2c 6f 3d 6e 2e 73 70 6c 69 74 28 var r,o=n.split(
00019120: 22 2c 22 29 2c 69 3d 30 2c 61 3d 6f 2e 6c 65 6e ","),i=0,a=o.len
00019130: 67 74 68 3b 69 3c 61 3b 69 2b 2b 29 28 72 3d 6f gth;i<a;i++)(r=o
00019140: 5b 69 5d 29 26 26 65 2e 72 65 67 69 73 74 65 72 [i])&&e.register
00019150: 4e 61 6d 65 28 74 2c 72 29 7d 2c 55 3d 66 75 6e Name(t,r)},U=fun
00019160: 63 74 69 6f 6e 28 65 2c 74 29 7b 66 6f 72 28 76 ction(e,t){for(v
00019170: 61 72 20 6e 3d 28 74 2e 74 65 78 74 43 6f 6e 74 ar n=(t.textCont
00019180: 65 6e 74 7c 7c 22 22 29 2e 73 70 6c 69 74 28 22 ent||"").split("
00019190: 2f 2a 21 73 63 2a 2f 5c 6e 22 29 2c 72 3d 5b 5d /*!sc*/\n"),r=[]
000191a0: 2c 6f 3d 30 2c 69 3d 6e 2e 6c 65 6e 67 74 68 3b ,o=0,i=n.length;
000191b0: 6f 3c 69 3b 6f 2b 2b 29 7b 76 61 72 20 61 3d 6e o<i;o++){var a=n
000191c0: 5b 6f 5d 2e 74 72 69 6d 28 29 3b 69 66 28 61 29 [o].trim();if(a)
000191d0: 7b 76 61 72 20 73 3d 61 2e 6d 61 74 63 68 28 4c {var s=a.match(L
000191e0: 29 3b 69 66 28 73 29 7b 76 61 72 20 6c 3d 30 7c );if(s){var l=0|
000191f0: 70 61 72 73 65 49 6e 74 28 73 5b 31 5d 2c 31 30 parseInt(s[1],10
00019200: 29 2c 63 3d 73 5b 32 5d 3b 30 21 3d 3d 6c 26 26 ),c=s[2];0!==l&&
00019210: 28 50 28 63 2c 6c 29 2c 46 28 65 2c 63 2c 73 5b (P(c,l),F(e,c,s[
00019220: 33 5d 29 2c 65 2e 67 65 74 54 61 67 28 29 2e 69 3]),e.getTag().i
00019230: 6e 73 65 72 74 52 75 6c 65 73 28 6c 2c 72 29 29 nsertRules(l,r))
00019240: 2c 72 2e 6c 65 6e 67 74 68 3d 30 7d 65 6c 73 65 ,r.length=0}else
00019250: 20 72 2e 70 75 73 68 28 61 29 7d 7d 7d 2c 42 3d r.push(a)}}},B=
00019260: 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 function(){retur
00019270: 6e 20 6e 2e 6e 63 7d 2c 6a 3d 66 75 6e 63 74 69 n n.nc},j=functi
00019280: 6f 6e 28 65 29 7b 76 61 72 20 74 3d 64 6f 63 75 on(e){var t=docu
00019290: 6d 65 6e 74 2e 68 65 61 64 2c 6e 3d 65 7c 7c 74 ment.head,n=e||t
000192a0: 2c 72 3d 64 6f 63 75 6d 65 6e 74 2e 63 72 65 61 ,r=document.crea
000192b0: 74 65 45 6c 65 6d 65 6e 74 28 22 73 74 79 6c 65 teElement("style
000192c0: 22 29 2c 6f 3d 66 75 6e 63 74 69 6f 6e 28 65 29 "),o=function(e)
000192d0: 7b 66 6f 72 28 76 61 72 20 74 3d 65 2e 63 68 69 {for(var t=e.chi
000192e0: 6c 64 4e 6f 64 65 73 2c 6e 3d 74 2e 6c 65 6e 67 ldNodes,n=t.leng
000192f0: 74 68 3b 6e 3e 3d 30 3b 6e 2d 2d 29 7b 76 61 72 th;n>=0;n--){var
00019300: 20 72 3d 74 5b 6e 5d 3b 69 66 28 72 26 26 31 3d r=t[n];if(r&&1=
00019310: 3d 3d 72 2e 6e 6f 64 65 54 79 70 65 26 26 72 2e ==r.nodeType&&r.
00019320: 68 61 73 41 74 74 72 69 62 75 74 65 28 53 29 29 hasAttribute(S))
00019330: 72 65 74 75 72 6e 20 72 7d 7d 28 6e 29 2c 69 3d return r}}(n),i=
00019340: 76 6f 69 64 20 30 21 3d 3d 6f 3f 6f 2e 6e 65 78 void 0!==o?o.nex
00019350: 74 53 69 62 6c 69 6e 67 3a 6e 75 6c 6c 3b 72 2e tSibling:null;r.
00019360: 73 65 74 41 74 74 72 69 62 75 74 65 28 53 2c 22 setAttribute(S,"
00019370: 61 63 74 69 76 65 22 29 2c 72 2e 73 65 74 41 74 active"),r.setAt
00019380: 74 72 69 62 75 74 65 28 22 64 61 74 61 2d 73 74 tribute("data-st
00019390: 79 6c 65 64 2d 76 65 72 73 69 6f 6e 22 2c 22 35 yled-version","5
000193a0: 2e 33 2e 31 30 22 29 3b 76 61 72 20 61 3d 42 28 .3.10");var a=B(
000193b0: 29 3b 72 65 74 75 72 6e 20 61 26 26 72 2e 73 65 );return a&&r.se
000193c0: 74 41 74 74 72 69 62 75 74 65 28 22 6e 6f 6e 63 tAttribute("nonc
000193d0: 65 22 2c 61 29 2c 6e 2e 69 6e 73 65 72 74 42 65 e",a),n.insertBe
000193e0: 66 6f 72 65 28 72 2c 69 29 2c 72 7d 2c 7a 3d 66 fore(r,i),r},z=f
000193f0: 75 6e 63 74 69 6f 6e 28 29 7b 66 75 6e 63 74 69 unction(){functi
00019400: 6f 6e 20 65 28 65 29 7b 76 61 72 20 74 3d 74 68 on e(e){var t=th
00019410: 69 73 2e 65 6c 65 6d 65 6e 74 3d 6a 28 65 29 3b is.element=j(e);
00019420: 74 2e 61 70 70 65 6e 64 43 68 69 6c 64 28 64 6f t.appendChild(do
00019430: 63 75 6d 65 6e 74 2e 63 72 65 61 74 65 54 65 78 cument.createTex
00019440: 74 4e 6f 64 65 28 22 22 29 29 2c 74 68 69 73 2e tNode("")),this.
00019450: 73 68 65 65 74 3d 66 75 6e 63 74 69 6f 6e 28 65 sheet=function(e
00019460: 29 7b 69 66 28 65 2e 73 68 65 65 74 29 72 65 74 ){if(e.sheet)ret
00019470: 75 72 6e 20 65 2e 73 68 65 65 74 3b 66 6f 72 28 urn e.sheet;for(
00019480: 76 61 72 20 74 3d 64 6f 63 75 6d 65 6e 74 2e 73 var t=document.s
00019490: 74 79 6c 65 53 68 65 65 74 73 2c 6e 3d 30 2c 72 tyleSheets,n=0,r
000194a0: 3d 74 2e 6c 65 6e 67 74 68 3b 6e 3c 72 3b 6e 2b =t.length;n<r;n+
000194b0: 2b 29 7b 76 61 72 20 6f 3d 74 5b 6e 5d 3b 69 66 +){var o=t[n];if
000194c0: 28 6f 2e 6f 77 6e 65 72 4e 6f 64 65 3d 3d 3d 65 (o.ownerNode===e
000194d0: 29 72 65 74 75 72 6e 20 6f 7d 43 28 31 37 29 7d )return o}C(17)}
000194e0: 28 74 29 2c 74 68 69 73 2e 6c 65 6e 67 74 68 3d (t),this.length=
000194f0: 30 7d 76 61 72 20 74 3d 65 2e 70 72 6f 74 6f 74 0}var t=e.protot
00019500: 79 70 65 3b 72 65 74 75 72 6e 20 74 2e 69 6e 73 ype;return t.ins
00019510: 65 72 74 52 75 6c 65 3d 66 75 6e 63 74 69 6f 6e ertRule=function
00019520: 28 65 2c 74 29 7b 74 72 79 7b 72 65 74 75 72 6e (e,t){try{return
00019530: 20 74 68 69 73 2e 73 68 65 65 74 2e 69 6e 73 65 this.sheet.inse
00019540: 72 74 52 75 6c 65 28 74 2c 65 29 2c 74 68 69 73 rtRule(t,e),this
00019550: 2e 6c 65 6e 67 74 68 2b 2b 2c 21 30 7d 63 61 74 .length++,!0}cat
00019560: 63 68 28 65 29 7b 72 65 74 75 72 6e 21 31 7d 7d ch(e){return!1}}
00019570: 2c 74 2e 64 65 6c 65 74 65 52 75 6c 65 3d 66 75 ,t.deleteRule=fu
00019580: 6e 63 74 69 6f 6e 28 65 29 7b 74 68 69 73 2e 73 nction(e){this.s
00019590: 68 65 65 74 2e 64 65 6c 65 74 65 52 75 6c 65 28 heet.deleteRule(
000195a0: 65 29 2c 74 68 69 73 2e 6c 65 6e 67 74 68 2d 2d e),this.length--
000195b0: 7d 2c 74 2e 67 65 74 52 75 6c 65 3d 66 75 6e 63 },t.getRule=func
000195c0: 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d 74 68 tion(e){var t=th
000195d0: 69 73 2e 73 68 65 65 74 2e 63 73 73 52 75 6c 65 is.sheet.cssRule
000195e0: 73 5b 65 5d 3b 72 65 74 75 72 6e 20 76 6f 69 64 s[e];return void
000195f0: 20 30 21 3d 3d 74 26 26 22 73 74 72 69 6e 67 22 0!==t&&"string"
00019600: 3d 3d 74 79 70 65 6f 66 20 74 2e 63 73 73 54 65 ==typeof t.cssTe
00019610: 78 74 3f 74 2e 63 73 73 54 65 78 74 3a 22 22 7d xt?t.cssText:""}
00019620: 2c 65 7d 28 29 2c 47 3d 66 75 6e 63 74 69 6f 6e ,e}(),G=function
00019630: 28 29 7b 66 75 6e 63 74 69 6f 6e 20 65 28 65 29 (){function e(e)
00019640: 7b 76 61 72 20 74 3d 74 68 69 73 2e 65 6c 65 6d {var t=this.elem
00019650: 65 6e 74 3d 6a 28 65 29 3b 74 68 69 73 2e 6e 6f ent=j(e);this.no
00019660: 64 65 73 3d 74 2e 63 68 69 6c 64 4e 6f 64 65 73 des=t.childNodes
00019670: 2c 74 68 69 73 2e 6c 65 6e 67 74 68 3d 30 7d 76 ,this.length=0}v
00019680: 61 72 20 74 3d 65 2e 70 72 6f 74 6f 74 79 70 65 ar t=e.prototype
00019690: 3b 72 65 74 75 72 6e 20 74 2e 69 6e 73 65 72 74 ;return t.insert
000196a0: 52 75 6c 65 3d 66 75 6e 63 74 69 6f 6e 28 65 2c Rule=function(e,
000196b0: 74 29 7b 69 66 28 65 3c 3d 74 68 69 73 2e 6c 65 t){if(e<=this.le
000196c0: 6e 67 74 68 26 26 65 3e 3d 30 29 7b 76 61 72 20 ngth&&e>=0){var
000196d0: 6e 3d 64 6f 63 75 6d 65 6e 74 2e 63 72 65 61 74 n=document.creat
000196e0: 65 54 65 78 74 4e 6f 64 65 28 74 29 2c 72 3d 74 eTextNode(t),r=t
000196f0: 68 69 73 2e 6e 6f 64 65 73 5b 65 5d 3b 72 65 74 his.nodes[e];ret
00019700: 75 72 6e 20 74 68 69 73 2e 65 6c 65 6d 65 6e 74 urn this.element
00019710: 2e 69 6e 73 65 72 74 42 65 66 6f 72 65 28 6e 2c .insertBefore(n,
00019720: 72 7c 7c 6e 75 6c 6c 29 2c 74 68 69 73 2e 6c 65 r||null),this.le
00019730: 6e 67 74 68 2b 2b 2c 21 30 7d 72 65 74 75 72 6e ngth++,!0}return
00019740: 21 31 7d 2c 74 2e 64 65 6c 65 74 65 52 75 6c 65 !1},t.deleteRule
00019750: 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 74 68 69 =function(e){thi
00019760: 73 2e 65 6c 65 6d 65 6e 74 2e 72 65 6d 6f 76 65 s.element.remove
00019770: 43 68 69 6c 64 28 74 68 69 73 2e 6e 6f 64 65 73 Child(this.nodes
00019780: 5b 65 5d 29 2c 74 68 69 73 2e 6c 65 6e 67 74 68 [e]),this.length
00019790: 2d 2d 7d 2c 74 2e 67 65 74 52 75 6c 65 3d 66 75 --},t.getRule=fu
000197a0: 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e nction(e){return
000197b0: 20 65 3c 74 68 69 73 2e 6c 65 6e 67 74 68 3f 74 e<this.length?t
000197c0: 68 69 73 2e 6e 6f 64 65 73 5b 65 5d 2e 74 65 78 his.nodes[e].tex
000197d0: 74 43 6f 6e 74 65 6e 74 3a 22 22 7d 2c 65 7d 28 tContent:""},e}(
000197e0: 29 2c 48 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 66 ),H=function(){f
000197f0: 75 6e 63 74 69 6f 6e 20 65 28 65 29 7b 74 68 69 unction e(e){thi
00019800: 73 2e 72 75 6c 65 73 3d 5b 5d 2c 74 68 69 73 2e s.rules=[],this.
00019810: 6c 65 6e 67 74 68 3d 30 7d 76 61 72 20 74 3d 65 length=0}var t=e
00019820: 2e 70 72 6f 74 6f 74 79 70 65 3b 72 65 74 75 72 .prototype;retur
00019830: 6e 20 74 2e 69 6e 73 65 72 74 52 75 6c 65 3d 66 n t.insertRule=f
00019840: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 74 unction(e,t){ret
00019850: 75 72 6e 20 65 3c 3d 74 68 69 73 2e 6c 65 6e 67 urn e<=this.leng
00019860: 74 68 26 26 28 74 68 69 73 2e 72 75 6c 65 73 2e th&&(this.rules.
00019870: 73 70 6c 69 63 65 28 65 2c 30 2c 74 29 2c 74 68 splice(e,0,t),th
00019880: 69 73 2e 6c 65 6e 67 74 68 2b 2b 2c 21 30 29 7d is.length++,!0)}
00019890: 2c 74 2e 64 65 6c 65 74 65 52 75 6c 65 3d 66 75 ,t.deleteRule=fu
000198a0: 6e 63 74 69 6f 6e 28 65 29 7b 74 68 69 73 2e 72 nction(e){this.r
000198b0: 75 6c 65 73 2e 73 70 6c 69 63 65 28 65 2c 31 29 ules.splice(e,1)
000198c0: 2c 74 68 69 73 2e 6c 65 6e 67 74 68 2d 2d 7d 2c ,this.length--},
000198d0: 74 2e 67 65 74 52 75 6c 65 3d 66 75 6e 63 74 69 t.getRule=functi
000198e0: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 65 3c 74 on(e){return e<t
000198f0: 68 69 73 2e 6c 65 6e 67 74 68 3f 74 68 69 73 2e his.length?this.
00019900: 72 75 6c 65 73 5b 65 5d 3a 22 22 7d 2c 65 7d 28 rules[e]:""},e}(
00019910: 29 2c 56 3d 54 2c 71 3d 7b 69 73 53 65 72 76 65 ),V=T,q={isServe
00019920: 72 3a 21 54 2c 75 73 65 43 53 53 4f 4d 49 6e 6a r:!T,useCSSOMInj
00019930: 65 63 74 69 6f 6e 3a 21 78 7d 2c 57 3d 66 75 6e ection:!x},W=fun
00019940: 63 74 69 6f 6e 28 29 7b 66 75 6e 63 74 69 6f 6e ction(){function
00019950: 20 65 28 65 2c 74 2c 6e 29 7b 76 6f 69 64 20 30 e(e,t,n){void 0
00019960: 3d 3d 3d 65 26 26 28 65 3d 76 29 2c 76 6f 69 64 ===e&&(e=v),void
00019970: 20 30 3d 3d 3d 74 26 26 28 74 3d 7b 7d 29 2c 74 0===t&&(t={}),t
00019980: 68 69 73 2e 6f 70 74 69 6f 6e 73 3d 6d 28 7b 7d his.options=m({}
00019990: 2c 71 2c 7b 7d 2c 65 29 2c 74 68 69 73 2e 67 73 ,q,{},e),this.gs
000199a0: 3d 74 2c 74 68 69 73 2e 6e 61 6d 65 73 3d 6e 65 =t,this.names=ne
000199b0: 77 20 4d 61 70 28 6e 29 2c 74 68 69 73 2e 73 65 w Map(n),this.se
000199c0: 72 76 65 72 3d 21 21 65 2e 69 73 53 65 72 76 65 rver=!!e.isServe
000199d0: 72 2c 21 74 68 69 73 2e 73 65 72 76 65 72 26 26 r,!this.server&&
000199e0: 54 26 26 56 26 26 28 56 3d 21 31 2c 66 75 6e 63 T&&V&&(V=!1,func
000199f0: 74 69 6f 6e 28 65 29 7b 66 6f 72 28 76 61 72 20 tion(e){for(var
00019a00: 74 3d 64 6f 63 75 6d 65 6e 74 2e 71 75 65 72 79 t=document.query
00019a10: 53 65 6c 65 63 74 6f 72 41 6c 6c 28 44 29 2c 6e SelectorAll(D),n
00019a20: 3d 30 2c 72 3d 74 2e 6c 65 6e 67 74 68 3b 6e 3c =0,r=t.length;n<
00019a30: 72 3b 6e 2b 2b 29 7b 76 61 72 20 6f 3d 74 5b 6e r;n++){var o=t[n
00019a40: 5d 3b 6f 26 26 22 61 63 74 69 76 65 22 21 3d 3d ];o&&"active"!==
00019a50: 6f 2e 67 65 74 41 74 74 72 69 62 75 74 65 28 53 o.getAttribute(S
00019a60: 29 26 26 28 55 28 65 2c 6f 29 2c 6f 2e 70 61 72 )&&(U(e,o),o.par
00019a70: 65 6e 74 4e 6f 64 65 26 26 6f 2e 70 61 72 65 6e entNode&&o.paren
00019a80: 74 4e 6f 64 65 2e 72 65 6d 6f 76 65 43 68 69 6c tNode.removeChil
00019a90: 64 28 6f 29 29 7d 7d 28 74 68 69 73 29 29 7d 65 d(o))}}(this))}e
00019aa0: 2e 72 65 67 69 73 74 65 72 49 64 3d 66 75 6e 63 .registerId=func
00019ab0: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 49 tion(e){return I
00019ac0: 28 65 29 7d 3b 76 61 72 20 74 3d 65 2e 70 72 6f (e)};var t=e.pro
00019ad0: 74 6f 74 79 70 65 3b 72 65 74 75 72 6e 20 74 2e totype;return t.
00019ae0: 72 65 63 6f 6e 73 74 72 75 63 74 57 69 74 68 4f reconstructWithO
00019af0: 70 74 69 6f 6e 73 3d 66 75 6e 63 74 69 6f 6e 28 ptions=function(
00019b00: 74 2c 6e 29 7b 72 65 74 75 72 6e 20 76 6f 69 64 t,n){return void
00019b10: 20 30 3d 3d 3d 6e 26 26 28 6e 3d 21 30 29 2c 6e 0===n&&(n=!0),n
00019b20: 65 77 20 65 28 6d 28 7b 7d 2c 74 68 69 73 2e 6f ew e(m({},this.o
00019b30: 70 74 69 6f 6e 73 2c 7b 7d 2c 74 29 2c 74 68 69 ptions,{},t),thi
00019b40: 73 2e 67 73 2c 6e 26 26 74 68 69 73 2e 6e 61 6d s.gs,n&&this.nam
00019b50: 65 73 7c 7c 76 6f 69 64 20 30 29 7d 2c 74 2e 61 es||void 0)},t.a
00019b60: 6c 6c 6f 63 61 74 65 47 53 49 6e 73 74 61 6e 63 llocateGSInstanc
00019b70: 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 e=function(e){re
00019b80: 74 75 72 6e 20 74 68 69 73 2e 67 73 5b 65 5d 3d turn this.gs[e]=
00019b90: 28 74 68 69 73 2e 67 73 5b 65 5d 7c 7c 30 29 2b (this.gs[e]||0)+
00019ba0: 31 7d 2c 74 2e 67 65 74 54 61 67 3d 66 75 6e 63 1},t.getTag=func
00019bb0: 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 74 68 tion(){return th
00019bc0: 69 73 2e 74 61 67 7c 7c 28 74 68 69 73 2e 74 61 is.tag||(this.ta
00019bd0: 67 3d 28 6e 3d 28 74 3d 74 68 69 73 2e 6f 70 74 g=(n=(t=this.opt
00019be0: 69 6f 6e 73 29 2e 69 73 53 65 72 76 65 72 2c 72 ions).isServer,r
00019bf0: 3d 74 2e 75 73 65 43 53 53 4f 4d 49 6e 6a 65 63 =t.useCSSOMInjec
00019c00: 74 69 6f 6e 2c 6f 3d 74 2e 74 61 72 67 65 74 2c tion,o=t.target,
00019c10: 65 3d 6e 3f 6e 65 77 20 48 28 6f 29 3a 72 3f 6e e=n?new H(o):r?n
00019c20: 65 77 20 7a 28 6f 29 3a 6e 65 77 20 47 28 6f 29 ew z(o):new G(o)
00019c30: 2c 6e 65 77 20 52 28 65 29 29 29 3b 76 61 72 20 ,new R(e)));var
00019c40: 65 2c 74 2c 6e 2c 72 2c 6f 7d 2c 74 2e 68 61 73 e,t,n,r,o},t.has
00019c50: 4e 61 6d 65 46 6f 72 49 64 3d 66 75 6e 63 74 69 NameForId=functi
00019c60: 6f 6e 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 74 on(e,t){return t
00019c70: 68 69 73 2e 6e 61 6d 65 73 2e 68 61 73 28 65 29 his.names.has(e)
00019c80: 26 26 74 68 69 73 2e 6e 61 6d 65 73 2e 67 65 74 &&this.names.get
00019c90: 28 65 29 2e 68 61 73 28 74 29 7d 2c 74 2e 72 65 (e).has(t)},t.re
00019ca0: 67 69 73 74 65 72 4e 61 6d 65 3d 66 75 6e 63 74 gisterName=funct
00019cb0: 69 6f 6e 28 65 2c 74 29 7b 69 66 28 49 28 65 29 ion(e,t){if(I(e)
00019cc0: 2c 74 68 69 73 2e 6e 61 6d 65 73 2e 68 61 73 28 ,this.names.has(
00019cd0: 65 29 29 74 68 69 73 2e 6e 61 6d 65 73 2e 67 65 e))this.names.ge
00019ce0: 74 28 65 29 2e 61 64 64 28 74 29 3b 65 6c 73 65 t(e).add(t);else
00019cf0: 7b 76 61 72 20 6e 3d 6e 65 77 20 53 65 74 3b 6e {var n=new Set;n
00019d00: 2e 61 64 64 28 74 29 2c 74 68 69 73 2e 6e 61 6d .add(t),this.nam
00019d10: 65 73 2e 73 65 74 28 65 2c 6e 29 7d 7d 2c 74 2e es.set(e,n)}},t.
00019d20: 69 6e 73 65 72 74 52 75 6c 65 73 3d 66 75 6e 63 insertRules=func
00019d30: 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 74 68 69 73 tion(e,t,n){this
00019d40: 2e 72 65 67 69 73 74 65 72 4e 61 6d 65 28 65 2c .registerName(e,
00019d50: 74 29 2c 74 68 69 73 2e 67 65 74 54 61 67 28 29 t),this.getTag()
00019d60: 2e 69 6e 73 65 72 74 52 75 6c 65 73 28 49 28 65 .insertRules(I(e
00019d70: 29 2c 6e 29 7d 2c 74 2e 63 6c 65 61 72 4e 61 6d ),n)},t.clearNam
00019d80: 65 73 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 74 es=function(e){t
00019d90: 68 69 73 2e 6e 61 6d 65 73 2e 68 61 73 28 65 29 his.names.has(e)
00019da0: 26 26 74 68 69 73 2e 6e 61 6d 65 73 2e 67 65 74 &&this.names.get
00019db0: 28 65 29 2e 63 6c 65 61 72 28 29 7d 2c 74 2e 63 (e).clear()},t.c
00019dc0: 6c 65 61 72 52 75 6c 65 73 3d 66 75 6e 63 74 69 learRules=functi
00019dd0: 6f 6e 28 65 29 7b 74 68 69 73 2e 67 65 74 54 61 on(e){this.getTa
00019de0: 67 28 29 2e 63 6c 65 61 72 47 72 6f 75 70 28 49 g().clearGroup(I
00019df0: 28 65 29 29 2c 74 68 69 73 2e 63 6c 65 61 72 4e (e)),this.clearN
00019e00: 61 6d 65 73 28 65 29 7d 2c 74 2e 63 6c 65 61 72 ames(e)},t.clear
00019e10: 54 61 67 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 74 Tag=function(){t
00019e20: 68 69 73 2e 74 61 67 3d 76 6f 69 64 20 30 7d 2c his.tag=void 0},
00019e30: 74 2e 74 6f 53 74 72 69 6e 67 3d 66 75 6e 63 74 t.toString=funct
00019e40: 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 66 75 6e ion(){return fun
00019e50: 63 74 69 6f 6e 28 65 29 7b 66 6f 72 28 76 61 72 ction(e){for(var
00019e60: 20 74 3d 65 2e 67 65 74 54 61 67 28 29 2c 6e 3d t=e.getTag(),n=
00019e70: 74 2e 6c 65 6e 67 74 68 2c 72 3d 22 22 2c 6f 3d t.length,r="",o=
00019e80: 30 3b 6f 3c 6e 3b 6f 2b 2b 29 7b 76 61 72 20 69 0;o<n;o++){var i
00019e90: 3d 4d 28 6f 29 3b 69 66 28 76 6f 69 64 20 30 21 =M(o);if(void 0!
00019ea0: 3d 3d 69 29 7b 76 61 72 20 61 3d 65 2e 6e 61 6d ==i){var a=e.nam
00019eb0: 65 73 2e 67 65 74 28 69 29 2c 73 3d 74 2e 67 65 es.get(i),s=t.ge
00019ec0: 74 47 72 6f 75 70 28 6f 29 3b 69 66 28 61 26 26 tGroup(o);if(a&&
00019ed0: 73 26 26 61 2e 73 69 7a 65 29 7b 76 61 72 20 6c s&&a.size){var l
00019ee0: 3d 53 2b 22 2e 67 22 2b 6f 2b 27 5b 69 64 3d 22 =S+".g"+o+'[id="
00019ef0: 27 2b 69 2b 27 22 5d 27 2c 63 3d 22 22 3b 76 6f '+i+'"]',c="";vo
00019f00: 69 64 20 30 21 3d 3d 61 26 26 61 2e 66 6f 72 45 id 0!==a&&a.forE
00019f10: 61 63 68 28 28 66 75 6e 63 74 69 6f 6e 28 65 29 ach((function(e)
00019f20: 7b 65 2e 6c 65 6e 67 74 68 3e 30 26 26 28 63 2b {e.length>0&&(c+
00019f30: 3d 65 2b 22 2c 22 29 7d 29 29 2c 72 2b 3d 22 22 =e+",")})),r+=""
00019f40: 2b 73 2b 6c 2b 27 7b 63 6f 6e 74 65 6e 74 3a 22 +s+l+'{content:"
00019f50: 27 2b 63 2b 27 22 7d 2f 2a 21 73 63 2a 2f 5c 6e '+c+'"}/*!sc*/\n
00019f60: 27 7d 7d 7d 72 65 74 75 72 6e 20 72 7d 28 74 68 '}}}return r}(th
00019f70: 69 73 29 7d 2c 65 7d 28 29 2c 59 3d 2f 28 61 29 is)},e}(),Y=/(a)
00019f80: 28 64 29 2f 67 69 2c 4b 3d 66 75 6e 63 74 69 6f (d)/gi,K=functio
00019f90: 6e 28 65 29 7b 72 65 74 75 72 6e 20 53 74 72 69 n(e){return Stri
00019fa0: 6e 67 2e 66 72 6f 6d 43 68 61 72 43 6f 64 65 28 ng.fromCharCode(
00019fb0: 65 2b 28 65 3e 32 35 3f 33 39 3a 39 37 29 29 7d e+(e>25?39:97))}
00019fc0: 3b 66 75 6e 63 74 69 6f 6e 20 5a 28 65 29 7b 76 ;function Z(e){v
00019fd0: 61 72 20 74 2c 6e 3d 22 22 3b 66 6f 72 28 74 3d ar t,n="";for(t=
00019fe0: 4d 61 74 68 2e 61 62 73 28 65 29 3b 74 3e 35 32 Math.abs(e);t>52
00019ff0: 3b 74 3d 74 2f 35 32 7c 30 29 6e 3d 4b 28 74 25 ;t=t/52|0)n=K(t%
0001a000: 35 32 29 2b 6e 3b 72 65 74 75 72 6e 28 4b 28 74 52)+n;return(K(t
0001a010: 25 35 32 29 2b 6e 29 2e 72 65 70 6c 61 63 65 28 %52)+n).replace(
0001a020: 59 2c 22 24 31 2d 24 32 22 29 7d 76 61 72 20 58 Y,"$1-$2")}var X
0001a030: 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 66 =function(e,t){f
0001a040: 6f 72 28 76 61 72 20 6e 3d 74 2e 6c 65 6e 67 74 or(var n=t.lengt
0001a050: 68 3b 6e 3b 29 65 3d 33 33 2a 65 5e 74 2e 63 68 h;n;)e=33*e^t.ch
0001a060: 61 72 43 6f 64 65 41 74 28 2d 2d 6e 29 3b 72 65 arCodeAt(--n);re
0001a070: 74 75 72 6e 20 65 7d 2c 51 3d 66 75 6e 63 74 69 turn e},Q=functi
0001a080: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 58 28 35 on(e){return X(5
0001a090: 33 38 31 2c 65 29 7d 3b 66 75 6e 63 74 69 6f 6e 381,e)};function
0001a0a0: 20 4a 28 65 29 7b 66 6f 72 28 76 61 72 20 74 3d J(e){for(var t=
0001a0b0: 30 3b 74 3c 65 2e 6c 65 6e 67 74 68 3b 74 2b 3d 0;t<e.length;t+=
0001a0c0: 31 29 7b 76 61 72 20 6e 3d 65 5b 74 5d 3b 69 66 1){var n=e[t];if
0001a0d0: 28 79 28 6e 29 26 26 21 5f 28 6e 29 29 72 65 74 (y(n)&&!_(n))ret
0001a0e0: 75 72 6e 21 31 7d 72 65 74 75 72 6e 21 30 7d 76 urn!1}return!0}v
0001a0f0: 61 72 20 24 3d 51 28 22 35 2e 33 2e 31 30 22 29 ar $=Q("5.3.10")
0001a100: 2c 65 65 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 66 ,ee=function(){f
0001a110: 75 6e 63 74 69 6f 6e 20 65 28 65 2c 74 2c 6e 29 unction e(e,t,n)
0001a120: 7b 74 68 69 73 2e 72 75 6c 65 73 3d 65 2c 74 68 {this.rules=e,th
0001a130: 69 73 2e 73 74 61 74 69 63 52 75 6c 65 73 49 64 is.staticRulesId
0001a140: 3d 22 22 2c 74 68 69 73 2e 69 73 53 74 61 74 69 ="",this.isStati
0001a150: 63 3d 28 76 6f 69 64 20 30 3d 3d 3d 6e 7c 7c 6e c=(void 0===n||n
0001a160: 2e 69 73 53 74 61 74 69 63 29 26 26 4a 28 65 29 .isStatic)&&J(e)
0001a170: 2c 74 68 69 73 2e 63 6f 6d 70 6f 6e 65 6e 74 49 ,this.componentI
0001a180: 64 3d 74 2c 74 68 69 73 2e 62 61 73 65 48 61 73 d=t,this.baseHas
0001a190: 68 3d 58 28 24 2c 74 29 2c 74 68 69 73 2e 62 61 h=X($,t),this.ba
0001a1a0: 73 65 53 74 79 6c 65 3d 6e 2c 57 2e 72 65 67 69 seStyle=n,W.regi
0001a1b0: 73 74 65 72 49 64 28 74 29 7d 72 65 74 75 72 6e sterId(t)}return
0001a1c0: 20 65 2e 70 72 6f 74 6f 74 79 70 65 2e 67 65 6e e.prototype.gen
0001a1d0: 65 72 61 74 65 41 6e 64 49 6e 6a 65 63 74 53 74 erateAndInjectSt
0001a1e0: 79 6c 65 73 3d 66 75 6e 63 74 69 6f 6e 28 65 2c yles=function(e,
0001a1f0: 74 2c 6e 29 7b 76 61 72 20 72 3d 74 68 69 73 2e t,n){var r=this.
0001a200: 63 6f 6d 70 6f 6e 65 6e 74 49 64 2c 6f 3d 5b 5d componentId,o=[]
0001a210: 3b 69 66 28 74 68 69 73 2e 62 61 73 65 53 74 79 ;if(this.baseSty
0001a220: 6c 65 26 26 6f 2e 70 75 73 68 28 74 68 69 73 2e le&&o.push(this.
0001a230: 62 61 73 65 53 74 79 6c 65 2e 67 65 6e 65 72 61 baseStyle.genera
0001a240: 74 65 41 6e 64 49 6e 6a 65 63 74 53 74 79 6c 65 teAndInjectStyle
0001a250: 73 28 65 2c 74 2c 6e 29 29 2c 74 68 69 73 2e 69 s(e,t,n)),this.i
0001a260: 73 53 74 61 74 69 63 26 26 21 6e 2e 68 61 73 68 sStatic&&!n.hash
0001a270: 29 69 66 28 74 68 69 73 2e 73 74 61 74 69 63 52 )if(this.staticR
0001a280: 75 6c 65 73 49 64 26 26 74 2e 68 61 73 4e 61 6d ulesId&&t.hasNam
0001a290: 65 46 6f 72 49 64 28 72 2c 74 68 69 73 2e 73 74 eForId(r,this.st
0001a2a0: 61 74 69 63 52 75 6c 65 73 49 64 29 29 6f 2e 70 aticRulesId))o.p
0001a2b0: 75 73 68 28 74 68 69 73 2e 73 74 61 74 69 63 52 ush(this.staticR
0001a2c0: 75 6c 65 73 49 64 29 3b 65 6c 73 65 7b 76 61 72 ulesId);else{var
0001a2d0: 20 69 3d 79 65 28 74 68 69 73 2e 72 75 6c 65 73 i=ye(this.rules
0001a2e0: 2c 65 2c 74 2c 6e 29 2e 6a 6f 69 6e 28 22 22 29 ,e,t,n).join("")
0001a2f0: 2c 61 3d 5a 28 58 28 74 68 69 73 2e 62 61 73 65 ,a=Z(X(this.base
0001a300: 48 61 73 68 2c 69 29 3e 3e 3e 30 29 3b 69 66 28 Hash,i)>>>0);if(
0001a310: 21 74 2e 68 61 73 4e 61 6d 65 46 6f 72 49 64 28 !t.hasNameForId(
0001a320: 72 2c 61 29 29 7b 76 61 72 20 73 3d 6e 28 69 2c r,a)){var s=n(i,
0001a330: 22 2e 22 2b 61 2c 76 6f 69 64 20 30 2c 72 29 3b "."+a,void 0,r);
0001a340: 74 2e 69 6e 73 65 72 74 52 75 6c 65 73 28 72 2c t.insertRules(r,
0001a350: 61 2c 73 29 7d 6f 2e 70 75 73 68 28 61 29 2c 74 a,s)}o.push(a),t
0001a360: 68 69 73 2e 73 74 61 74 69 63 52 75 6c 65 73 49 his.staticRulesI
0001a370: 64 3d 61 7d 65 6c 73 65 7b 66 6f 72 28 76 61 72 d=a}else{for(var
0001a380: 20 6c 3d 74 68 69 73 2e 72 75 6c 65 73 2e 6c 65 l=this.rules.le
0001a390: 6e 67 74 68 2c 63 3d 58 28 74 68 69 73 2e 62 61 ngth,c=X(this.ba
0001a3a0: 73 65 48 61 73 68 2c 6e 2e 68 61 73 68 29 2c 75 seHash,n.hash),u
0001a3b0: 3d 22 22 2c 64 3d 30 3b 64 3c 6c 3b 64 2b 2b 29 ="",d=0;d<l;d++)
0001a3c0: 7b 76 61 72 20 70 3d 74 68 69 73 2e 72 75 6c 65 {var p=this.rule
0001a3d0: 73 5b 64 5d 3b 69 66 28 22 73 74 72 69 6e 67 22 s[d];if("string"
0001a3e0: 3d 3d 74 79 70 65 6f 66 20 70 29 75 2b 3d 70 3b ==typeof p)u+=p;
0001a3f0: 65 6c 73 65 20 69 66 28 70 29 7b 76 61 72 20 66 else if(p){var f
0001a400: 3d 79 65 28 70 2c 65 2c 74 2c 6e 29 2c 6d 3d 41 =ye(p,e,t,n),m=A
0001a410: 72 72 61 79 2e 69 73 41 72 72 61 79 28 66 29 3f rray.isArray(f)?
0001a420: 66 2e 6a 6f 69 6e 28 22 22 29 3a 66 3b 63 3d 58 f.join(""):f;c=X
0001a430: 28 63 2c 6d 2b 64 29 2c 75 2b 3d 6d 7d 7d 69 66 (c,m+d),u+=m}}if
0001a440: 28 75 29 7b 76 61 72 20 68 3d 5a 28 63 3e 3e 3e (u){var h=Z(c>>>
0001a450: 30 29 3b 69 66 28 21 74 2e 68 61 73 4e 61 6d 65 0);if(!t.hasName
0001a460: 46 6f 72 49 64 28 72 2c 68 29 29 7b 76 61 72 20 ForId(r,h)){var
0001a470: 67 3d 6e 28 75 2c 22 2e 22 2b 68 2c 76 6f 69 64 g=n(u,"."+h,void
0001a480: 20 30 2c 72 29 3b 74 2e 69 6e 73 65 72 74 52 75 0,r);t.insertRu
0001a490: 6c 65 73 28 72 2c 68 2c 67 29 7d 6f 2e 70 75 73 les(r,h,g)}o.pus
0001a4a0: 68 28 68 29 7d 7d 72 65 74 75 72 6e 20 6f 2e 6a h(h)}}return o.j
0001a4b0: 6f 69 6e 28 22 20 22 29 7d 2c 65 7d 28 29 2c 74 oin(" ")},e}(),t
0001a4c0: 65 3d 2f 5e 5c 73 2a 5c 2f 5c 2f 2e 2a 24 2f 67 e=/^\s*\/\/.*$/g
0001a4d0: 6d 2c 6e 65 3d 5b 22 3a 22 2c 22 5b 22 2c 22 2e m,ne=[":","[",".
0001a4e0: 22 2c 22 23 22 5d 3b 66 75 6e 63 74 69 6f 6e 20 ","#"];function
0001a4f0: 72 65 28 65 29 7b 76 61 72 20 74 2c 6e 2c 72 2c re(e){var t,n,r,
0001a500: 6f 2c 69 3d 76 6f 69 64 20 30 3d 3d 3d 65 3f 76 o,i=void 0===e?v
0001a510: 3a 65 2c 61 3d 69 2e 6f 70 74 69 6f 6e 73 2c 6c :e,a=i.options,l
0001a520: 3d 76 6f 69 64 20 30 3d 3d 3d 61 3f 76 3a 61 2c =void 0===a?v:a,
0001a530: 63 3d 69 2e 70 6c 75 67 69 6e 73 2c 75 3d 76 6f c=i.plugins,u=vo
0001a540: 69 64 20 30 3d 3d 3d 63 3f 62 3a 63 2c 64 3d 6e id 0===c?b:c,d=n
0001a550: 65 77 20 73 28 6c 29 2c 70 3d 5b 5d 2c 66 3d 66 ew s(l),p=[],f=f
0001a560: 75 6e 63 74 69 6f 6e 28 65 29 7b 66 75 6e 63 74 unction(e){funct
0001a570: 69 6f 6e 20 74 28 74 29 7b 69 66 28 74 29 74 72 ion t(t){if(t)tr
0001a580: 79 7b 65 28 74 2b 22 7d 22 29 7d 63 61 74 63 68 y{e(t+"}")}catch
0001a590: 28 65 29 7b 7d 7d 72 65 74 75 72 6e 20 66 75 6e (e){}}return fun
0001a5a0: 63 74 69 6f 6e 28 6e 2c 72 2c 6f 2c 69 2c 61 2c ction(n,r,o,i,a,
0001a5b0: 73 2c 6c 2c 63 2c 75 2c 64 29 7b 73 77 69 74 63 s,l,c,u,d){switc
0001a5c0: 68 28 6e 29 7b 63 61 73 65 20 31 3a 69 66 28 30 h(n){case 1:if(0
0001a5d0: 3d 3d 3d 75 26 26 36 34 3d 3d 3d 72 2e 63 68 61 ===u&&64===r.cha
0001a5e0: 72 43 6f 64 65 41 74 28 30 29 29 72 65 74 75 72 rCodeAt(0))retur
0001a5f0: 6e 20 65 28 72 2b 22 3b 22 29 2c 22 22 3b 62 72 n e(r+";"),"";br
0001a600: 65 61 6b 3b 63 61 73 65 20 32 3a 69 66 28 30 3d eak;case 2:if(0=
0001a610: 3d 3d 63 29 72 65 74 75 72 6e 20 72 2b 22 2f 2a ==c)return r+"/*
0001a620: 7c 2a 2f 22 3b 62 72 65 61 6b 3b 63 61 73 65 20 |*/";break;case
0001a630: 33 3a 73 77 69 74 63 68 28 63 29 7b 63 61 73 65 3:switch(c){case
0001a640: 20 31 30 32 3a 63 61 73 65 20 31 31 32 3a 72 65 102:case 112:re
0001a650: 74 75 72 6e 20 65 28 6f 5b 30 5d 2b 72 29 2c 22 turn e(o[0]+r),"
0001a660: 22 3b 64 65 66 61 75 6c 74 3a 72 65 74 75 72 6e ";default:return
0001a670: 20 72 2b 28 30 3d 3d 3d 64 3f 22 2f 2a 7c 2a 2f r+(0===d?"/*|*/
0001a680: 22 3a 22 22 29 7d 63 61 73 65 2d 32 3a 72 2e 73 ":"")}case-2:r.s
0001a690: 70 6c 69 74 28 22 2f 2a 7c 2a 2f 7d 22 29 2e 66 plit("/*|*/}").f
0001a6a0: 6f 72 45 61 63 68 28 74 29 7d 7d 7d 28 28 66 75 orEach(t)}}}((fu
0001a6b0: 6e 63 74 69 6f 6e 28 65 29 7b 70 2e 70 75 73 68 nction(e){p.push
0001a6c0: 28 65 29 7d 29 29 2c 6d 3d 66 75 6e 63 74 69 6f (e)})),m=functio
0001a6d0: 6e 28 65 2c 72 2c 69 29 7b 72 65 74 75 72 6e 20 n(e,r,i){return
0001a6e0: 30 3d 3d 3d 72 26 26 2d 31 21 3d 3d 6e 65 2e 69 0===r&&-1!==ne.i
0001a6f0: 6e 64 65 78 4f 66 28 69 5b 6e 2e 6c 65 6e 67 74 ndexOf(i[n.lengt
0001a700: 68 5d 29 7c 7c 69 2e 6d 61 74 63 68 28 6f 29 3f h])||i.match(o)?
0001a710: 65 3a 22 2e 22 2b 74 7d 3b 66 75 6e 63 74 69 6f e:"."+t};functio
0001a720: 6e 20 68 28 65 2c 69 2c 61 2c 73 29 7b 76 6f 69 n h(e,i,a,s){voi
0001a730: 64 20 30 3d 3d 3d 73 26 26 28 73 3d 22 26 22 29 d 0===s&&(s="&")
0001a740: 3b 76 61 72 20 6c 3d 65 2e 72 65 70 6c 61 63 65 ;var l=e.replace
0001a750: 28 74 65 2c 22 22 29 2c 63 3d 69 26 26 61 3f 61 (te,""),c=i&&a?a
0001a760: 2b 22 20 22 2b 69 2b 22 20 7b 20 22 2b 6c 2b 22 +" "+i+" { "+l+"
0001a770: 20 7d 22 3a 6c 3b 72 65 74 75 72 6e 20 74 3d 73 }":l;return t=s
0001a780: 2c 6e 3d 69 2c 72 3d 6e 65 77 20 52 65 67 45 78 ,n=i,r=new RegEx
0001a790: 70 28 22 5c 5c 22 2b 6e 2b 22 5c 5c 62 22 2c 22 p("\\"+n+"\\b","
0001a7a0: 67 22 29 2c 6f 3d 6e 65 77 20 52 65 67 45 78 70 g"),o=new RegExp
0001a7b0: 28 22 28 5c 5c 22 2b 6e 2b 22 5c 5c 62 29 7b 32 ("(\\"+n+"\\b){2
0001a7c0: 2c 7d 22 29 2c 64 28 61 7c 7c 21 69 3f 22 22 3a ,}"),d(a||!i?"":
0001a7d0: 69 2c 63 29 7d 72 65 74 75 72 6e 20 64 2e 75 73 i,c)}return d.us
0001a7e0: 65 28 5b 5d 2e 63 6f 6e 63 61 74 28 75 2c 5b 66 e([].concat(u,[f
0001a7f0: 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6f 29 7b 32 unction(e,t,o){2
0001a800: 3d 3d 3d 65 26 26 6f 2e 6c 65 6e 67 74 68 26 26 ===e&&o.length&&
0001a810: 6f 5b 30 5d 2e 6c 61 73 74 49 6e 64 65 78 4f 66 o[0].lastIndexOf
0001a820: 28 6e 29 3e 30 26 26 28 6f 5b 30 5d 3d 6f 5b 30 (n)>0&&(o[0]=o[0
0001a830: 5d 2e 72 65 70 6c 61 63 65 28 72 2c 6d 29 29 7d ].replace(r,m))}
0001a840: 2c 66 2c 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 ,f,function(e){i
0001a850: 66 28 2d 32 3d 3d 3d 65 29 7b 76 61 72 20 74 3d f(-2===e){var t=
0001a860: 70 3b 72 65 74 75 72 6e 20 70 3d 5b 5d 2c 74 7d p;return p=[],t}
0001a870: 7d 5d 29 29 2c 68 2e 68 61 73 68 3d 75 2e 6c 65 }])),h.hash=u.le
0001a880: 6e 67 74 68 3f 75 2e 72 65 64 75 63 65 28 28 66 ngth?u.reduce((f
0001a890: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 74 unction(e,t){ret
0001a8a0: 75 72 6e 20 74 2e 6e 61 6d 65 7c 7c 43 28 31 35 urn t.name||C(15
0001a8b0: 29 2c 58 28 65 2c 74 2e 6e 61 6d 65 29 7d 29 2c ),X(e,t.name)}),
0001a8c0: 35 33 38 31 29 2e 74 6f 53 74 72 69 6e 67 28 29 5381).toString()
0001a8d0: 3a 22 22 2c 68 7d 76 61 72 20 6f 65 3d 6f 2e 63 :"",h}var oe=o.c
0001a8e0: 72 65 61 74 65 43 6f 6e 74 65 78 74 28 29 2c 69 reateContext(),i
0001a8f0: 65 3d 6f 65 2e 43 6f 6e 73 75 6d 65 72 2c 61 65 e=oe.Consumer,ae
0001a900: 3d 6f 2e 63 72 65 61 74 65 43 6f 6e 74 65 78 74 =o.createContext
0001a910: 28 29 2c 73 65 3d 28 61 65 2e 43 6f 6e 73 75 6d (),se=(ae.Consum
0001a920: 65 72 2c 6e 65 77 20 57 29 2c 6c 65 3d 72 65 28 er,new W),le=re(
0001a930: 29 3b 66 75 6e 63 74 69 6f 6e 20 63 65 28 29 7b );function ce(){
0001a940: 72 65 74 75 72 6e 28 30 2c 6f 2e 75 73 65 43 6f return(0,o.useCo
0001a950: 6e 74 65 78 74 29 28 6f 65 29 7c 7c 73 65 7d 66 ntext)(oe)||se}f
0001a960: 75 6e 63 74 69 6f 6e 20 75 65 28 29 7b 72 65 74 unction ue(){ret
0001a970: 75 72 6e 28 30 2c 6f 2e 75 73 65 43 6f 6e 74 65 urn(0,o.useConte
0001a980: 78 74 29 28 61 65 29 7c 7c 6c 65 7d 66 75 6e 63 xt)(ae)||le}func
0001a990: 74 69 6f 6e 20 64 65 28 65 29 7b 76 61 72 20 74 tion de(e){var t
0001a9a0: 3d 28 30 2c 6f 2e 75 73 65 53 74 61 74 65 29 28 =(0,o.useState)(
0001a9b0: 65 2e 73 74 79 6c 69 73 50 6c 75 67 69 6e 73 29 e.stylisPlugins)
0001a9c0: 2c 6e 3d 74 5b 30 5d 2c 72 3d 74 5b 31 5d 2c 69 ,n=t[0],r=t[1],i
0001a9d0: 3d 63 65 28 29 2c 73 3d 28 30 2c 6f 2e 75 73 65 =ce(),s=(0,o.use
0001a9e0: 4d 65 6d 6f 29 28 28 66 75 6e 63 74 69 6f 6e 28 Memo)((function(
0001a9f0: 29 7b 76 61 72 20 74 3d 69 3b 72 65 74 75 72 6e ){var t=i;return
0001aa00: 20 65 2e 73 68 65 65 74 3f 74 3d 65 2e 73 68 65 e.sheet?t=e.she
0001aa10: 65 74 3a 65 2e 74 61 72 67 65 74 26 26 28 74 3d et:e.target&&(t=
0001aa20: 74 2e 72 65 63 6f 6e 73 74 72 75 63 74 57 69 74 t.reconstructWit
0001aa30: 68 4f 70 74 69 6f 6e 73 28 7b 74 61 72 67 65 74 hOptions({target
0001aa40: 3a 65 2e 74 61 72 67 65 74 7d 2c 21 31 29 29 2c :e.target},!1)),
0001aa50: 65 2e 64 69 73 61 62 6c 65 43 53 53 4f 4d 49 6e e.disableCSSOMIn
0001aa60: 6a 65 63 74 69 6f 6e 26 26 28 74 3d 74 2e 72 65 jection&&(t=t.re
0001aa70: 63 6f 6e 73 74 72 75 63 74 57 69 74 68 4f 70 74 constructWithOpt
0001aa80: 69 6f 6e 73 28 7b 75 73 65 43 53 53 4f 4d 49 6e ions({useCSSOMIn
0001aa90: 6a 65 63 74 69 6f 6e 3a 21 31 7d 29 29 2c 74 7d jection:!1})),t}
0001aaa0: 29 2c 5b 65 2e 64 69 73 61 62 6c 65 43 53 53 4f ),[e.disableCSSO
0001aab0: 4d 49 6e 6a 65 63 74 69 6f 6e 2c 65 2e 73 68 65 MInjection,e.she
0001aac0: 65 74 2c 65 2e 74 61 72 67 65 74 5d 29 2c 6c 3d et,e.target]),l=
0001aad0: 28 30 2c 6f 2e 75 73 65 4d 65 6d 6f 29 28 28 66 (0,o.useMemo)((f
0001aae0: 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e unction(){return
0001aaf0: 20 72 65 28 7b 6f 70 74 69 6f 6e 73 3a 7b 70 72 re({options:{pr
0001ab00: 65 66 69 78 3a 21 65 2e 64 69 73 61 62 6c 65 56 efix:!e.disableV
0001ab10: 65 6e 64 6f 72 50 72 65 66 69 78 65 73 7d 2c 70 endorPrefixes},p
0001ab20: 6c 75 67 69 6e 73 3a 6e 7d 29 7d 29 2c 5b 65 2e lugins:n})}),[e.
0001ab30: 64 69 73 61 62 6c 65 56 65 6e 64 6f 72 50 72 65 disableVendorPre
0001ab40: 66 69 78 65 73 2c 6e 5d 29 3b 72 65 74 75 72 6e fixes,n]);return
0001ab50: 28 30 2c 6f 2e 75 73 65 45 66 66 65 63 74 29 28 (0,o.useEffect)(
0001ab60: 28 66 75 6e 63 74 69 6f 6e 28 29 7b 61 28 29 28 (function(){a()(
0001ab70: 6e 2c 65 2e 73 74 79 6c 69 73 50 6c 75 67 69 6e n,e.stylisPlugin
0001ab80: 73 29 7c 7c 72 28 65 2e 73 74 79 6c 69 73 50 6c s)||r(e.stylisPl
0001ab90: 75 67 69 6e 73 29 7d 29 2c 5b 65 2e 73 74 79 6c ugins)}),[e.styl
0001aba0: 69 73 50 6c 75 67 69 6e 73 5d 29 2c 6f 2e 63 72 isPlugins]),o.cr
0001abb0: 65 61 74 65 45 6c 65 6d 65 6e 74 28 6f 65 2e 50 eateElement(oe.P
0001abc0: 72 6f 76 69 64 65 72 2c 7b 76 61 6c 75 65 3a 73 rovider,{value:s
0001abd0: 7d 2c 6f 2e 63 72 65 61 74 65 45 6c 65 6d 65 6e },o.createElemen
0001abe0: 74 28 61 65 2e 50 72 6f 76 69 64 65 72 2c 7b 76 t(ae.Provider,{v
0001abf0: 61 6c 75 65 3a 6c 7d 2c 65 2e 63 68 69 6c 64 72 alue:l},e.childr
0001ac00: 65 6e 29 29 7d 76 61 72 20 70 65 3d 66 75 6e 63 en))}var pe=func
0001ac10: 74 69 6f 6e 28 29 7b 66 75 6e 63 74 69 6f 6e 20 tion(){function
0001ac20: 65 28 65 2c 74 29 7b 76 61 72 20 6e 3d 74 68 69 e(e,t){var n=thi
0001ac30: 73 3b 74 68 69 73 2e 69 6e 6a 65 63 74 3d 66 75 s;this.inject=fu
0001ac40: 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 76 6f 69 64 nction(e,t){void
0001ac50: 20 30 3d 3d 3d 74 26 26 28 74 3d 6c 65 29 3b 76 0===t&&(t=le);v
0001ac60: 61 72 20 72 3d 6e 2e 6e 61 6d 65 2b 74 2e 68 61 ar r=n.name+t.ha
0001ac70: 73 68 3b 65 2e 68 61 73 4e 61 6d 65 46 6f 72 49 sh;e.hasNameForI
0001ac80: 64 28 6e 2e 69 64 2c 72 29 7c 7c 65 2e 69 6e 73 d(n.id,r)||e.ins
0001ac90: 65 72 74 52 75 6c 65 73 28 6e 2e 69 64 2c 72 2c ertRules(n.id,r,
0001aca0: 74 28 6e 2e 72 75 6c 65 73 2c 72 2c 22 40 6b 65 t(n.rules,r,"@ke
0001acb0: 79 66 72 61 6d 65 73 22 29 29 7d 2c 74 68 69 73 yframes"))},this
0001acc0: 2e 74 6f 53 74 72 69 6e 67 3d 66 75 6e 63 74 69 .toString=functi
0001acd0: 6f 6e 28 29 7b 72 65 74 75 72 6e 20 43 28 31 32 on(){return C(12
0001ace0: 2c 53 74 72 69 6e 67 28 6e 2e 6e 61 6d 65 29 29 ,String(n.name))
0001acf0: 7d 2c 74 68 69 73 2e 6e 61 6d 65 3d 65 2c 74 68 },this.name=e,th
0001ad00: 69 73 2e 69 64 3d 22 73 63 2d 6b 65 79 66 72 61 is.id="sc-keyfra
0001ad10: 6d 65 73 2d 22 2b 65 2c 74 68 69 73 2e 72 75 6c mes-"+e,this.rul
0001ad20: 65 73 3d 74 7d 72 65 74 75 72 6e 20 65 2e 70 72 es=t}return e.pr
0001ad30: 6f 74 6f 74 79 70 65 2e 67 65 74 4e 61 6d 65 3d ototype.getName=
0001ad40: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 function(e){retu
0001ad50: 72 6e 20 76 6f 69 64 20 30 3d 3d 3d 65 26 26 28 rn void 0===e&&(
0001ad60: 65 3d 6c 65 29 2c 74 68 69 73 2e 6e 61 6d 65 2b e=le),this.name+
0001ad70: 65 2e 68 61 73 68 7d 2c 65 7d 28 29 2c 66 65 3d e.hash},e}(),fe=
0001ad80: 2f 28 5b 41 2d 5a 5d 29 2f 2c 6d 65 3d 2f 28 5b /([A-Z])/,me=/([
0001ad90: 41 2d 5a 5d 29 2f 67 2c 68 65 3d 2f 5e 6d 73 2d A-Z])/g,he=/^ms-
0001ada0: 2f 2c 67 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 /,ge=function(e)
0001adb0: 7b 72 65 74 75 72 6e 22 2d 22 2b 65 2e 74 6f 4c {return"-"+e.toL
0001adc0: 6f 77 65 72 43 61 73 65 28 29 7d 3b 66 75 6e 63 owerCase()};func
0001add0: 74 69 6f 6e 20 62 65 28 65 29 7b 72 65 74 75 72 tion be(e){retur
0001ade0: 6e 20 66 65 2e 74 65 73 74 28 65 29 3f 65 2e 72 n fe.test(e)?e.r
0001adf0: 65 70 6c 61 63 65 28 6d 65 2c 67 65 29 2e 72 65 eplace(me,ge).re
0001ae00: 70 6c 61 63 65 28 68 65 2c 22 2d 6d 73 2d 22 29 place(he,"-ms-")
0001ae10: 3a 65 7d 76 61 72 20 76 65 3d 66 75 6e 63 74 69 :e}var ve=functi
0001ae20: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 6e 75 6c on(e){return nul
0001ae30: 6c 3d 3d 65 7c 7c 21 31 3d 3d 3d 65 7c 7c 22 22 l==e||!1===e||""
0001ae40: 3d 3d 3d 65 7d 3b 66 75 6e 63 74 69 6f 6e 20 79 ===e};function y
0001ae50: 65 28 65 2c 74 2c 6e 2c 72 29 7b 69 66 28 41 72 e(e,t,n,r){if(Ar
0001ae60: 72 61 79 2e 69 73 41 72 72 61 79 28 65 29 29 7b ray.isArray(e)){
0001ae70: 66 6f 72 28 76 61 72 20 6f 2c 69 3d 5b 5d 2c 61 for(var o,i=[],a
0001ae80: 3d 30 2c 73 3d 65 2e 6c 65 6e 67 74 68 3b 61 3c =0,s=e.length;a<
0001ae90: 73 3b 61 2b 3d 31 29 22 22 21 3d 3d 28 6f 3d 79 s;a+=1)""!==(o=y
0001aea0: 65 28 65 5b 61 5d 2c 74 2c 6e 2c 72 29 29 26 26 e(e[a],t,n,r))&&
0001aeb0: 28 41 72 72 61 79 2e 69 73 41 72 72 61 79 28 6f (Array.isArray(o
0001aec0: 29 3f 69 2e 70 75 73 68 2e 61 70 70 6c 79 28 69 )?i.push.apply(i
0001aed0: 2c 6f 29 3a 69 2e 70 75 73 68 28 6f 29 29 3b 72 ,o):i.push(o));r
0001aee0: 65 74 75 72 6e 20 69 7d 72 65 74 75 72 6e 20 76 eturn i}return v
0001aef0: 65 28 65 29 3f 22 22 3a 5f 28 65 29 3f 22 2e 22 e(e)?"":_(e)?"."
0001af00: 2b 65 2e 73 74 79 6c 65 64 43 6f 6d 70 6f 6e 65 +e.styledCompone
0001af10: 6e 74 49 64 3a 79 28 65 29 3f 22 66 75 6e 63 74 ntId:y(e)?"funct
0001af20: 69 6f 6e 22 21 3d 74 79 70 65 6f 66 28 63 3d 65 ion"!=typeof(c=e
0001af30: 29 7c 7c 63 2e 70 72 6f 74 6f 74 79 70 65 26 26 )||c.prototype&&
0001af40: 63 2e 70 72 6f 74 6f 74 79 70 65 2e 69 73 52 65 c.prototype.isRe
0001af50: 61 63 74 43 6f 6d 70 6f 6e 65 6e 74 7c 7c 21 74 actComponent||!t
0001af60: 3f 65 3a 79 65 28 65 28 74 29 2c 74 2c 6e 2c 72 ?e:ye(e(t),t,n,r
0001af70: 29 3a 65 20 69 6e 73 74 61 6e 63 65 6f 66 20 70 ):e instanceof p
0001af80: 65 3f 6e 3f 28 65 2e 69 6e 6a 65 63 74 28 6e 2c e?n?(e.inject(n,
0001af90: 72 29 2c 65 2e 67 65 74 4e 61 6d 65 28 72 29 29 r),e.getName(r))
0001afa0: 3a 65 3a 67 28 65 29 3f 66 75 6e 63 74 69 6f 6e :e:g(e)?function
0001afb0: 20 65 28 74 2c 6e 29 7b 76 61 72 20 72 2c 6f 2c e(t,n){var r,o,
0001afc0: 69 3d 5b 5d 3b 66 6f 72 28 76 61 72 20 61 20 69 i=[];for(var a i
0001afd0: 6e 20 74 29 74 2e 68 61 73 4f 77 6e 50 72 6f 70 n t)t.hasOwnProp
0001afe0: 65 72 74 79 28 61 29 26 26 21 76 65 28 74 5b 61 erty(a)&&!ve(t[a
0001aff0: 5d 29 26 26 28 41 72 72 61 79 2e 69 73 41 72 72 ])&&(Array.isArr
0001b000: 61 79 28 74 5b 61 5d 29 26 26 74 5b 61 5d 2e 69 ay(t[a])&&t[a].i
0001b010: 73 43 73 73 7c 7c 79 28 74 5b 61 5d 29 3f 69 2e sCss||y(t[a])?i.
0001b020: 70 75 73 68 28 62 65 28 61 29 2b 22 3a 22 2c 74 push(be(a)+":",t
0001b030: 5b 61 5d 2c 22 3b 22 29 3a 67 28 74 5b 61 5d 29 [a],";"):g(t[a])
0001b040: 3f 69 2e 70 75 73 68 2e 61 70 70 6c 79 28 69 2c ?i.push.apply(i,
0001b050: 65 28 74 5b 61 5d 2c 61 29 29 3a 69 2e 70 75 73 e(t[a],a)):i.pus
0001b060: 68 28 62 65 28 61 29 2b 22 3a 20 22 2b 28 72 3d h(be(a)+": "+(r=
0001b070: 61 2c 28 6e 75 6c 6c 3d 3d 28 6f 3d 74 5b 61 5d a,(null==(o=t[a]
0001b080: 29 7c 7c 22 62 6f 6f 6c 65 61 6e 22 3d 3d 74 79 )||"boolean"==ty
0001b090: 70 65 6f 66 20 6f 7c 7c 22 22 3d 3d 3d 6f 3f 22 peof o||""===o?"
0001b0a0: 22 3a 22 6e 75 6d 62 65 72 22 21 3d 74 79 70 65 ":"number"!=type
0001b0b0: 6f 66 20 6f 7c 7c 30 3d 3d 3d 6f 7c 7c 72 20 69 of o||0===o||r i
0001b0c0: 6e 20 6c 7c 7c 72 2e 73 74 61 72 74 73 57 69 74 n l||r.startsWit
0001b0d0: 68 28 22 2d 2d 22 29 3f 53 74 72 69 6e 67 28 6f h("--")?String(o
0001b0e0: 29 2e 74 72 69 6d 28 29 3a 6f 2b 22 70 78 22 29 ).trim():o+"px")
0001b0f0: 2b 22 3b 22 29 29 29 3b 72 65 74 75 72 6e 20 6e +";")));return n
0001b100: 3f 5b 6e 2b 22 20 7b 22 5d 2e 63 6f 6e 63 61 74 ?[n+" {"].concat
0001b110: 28 69 2c 5b 22 7d 22 5d 29 3a 69 7d 28 65 29 3a (i,["}"]):i}(e):
0001b120: 65 2e 74 6f 53 74 72 69 6e 67 28 29 3b 76 61 72 e.toString();var
0001b130: 20 63 7d 76 61 72 20 45 65 3d 66 75 6e 63 74 69 c}var Ee=functi
0001b140: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 41 72 72 on(e){return Arr
0001b150: 61 79 2e 69 73 41 72 72 61 79 28 65 29 26 26 28 ay.isArray(e)&&(
0001b160: 65 2e 69 73 43 73 73 3d 21 30 29 2c 65 7d 3b 66 e.isCss=!0),e};f
0001b170: 75 6e 63 74 69 6f 6e 20 5f 65 28 65 29 7b 66 6f unction _e(e){fo
0001b180: 72 28 76 61 72 20 74 3d 61 72 67 75 6d 65 6e 74 r(var t=argument
0001b190: 73 2e 6c 65 6e 67 74 68 2c 6e 3d 6e 65 77 20 41 s.length,n=new A
0001b1a0: 72 72 61 79 28 74 3e 31 3f 74 2d 31 3a 30 29 2c rray(t>1?t-1:0),
0001b1b0: 72 3d 31 3b 72 3c 74 3b 72 2b 2b 29 6e 5b 72 2d r=1;r<t;r++)n[r-
0001b1c0: 31 5d 3d 61 72 67 75 6d 65 6e 74 73 5b 72 5d 3b 1]=arguments[r];
0001b1d0: 72 65 74 75 72 6e 20 79 28 65 29 7c 7c 67 28 65 return y(e)||g(e
0001b1e0: 29 3f 45 65 28 79 65 28 68 28 62 2c 5b 65 5d 2e )?Ee(ye(h(b,[e].
0001b1f0: 63 6f 6e 63 61 74 28 6e 29 29 29 29 3a 30 3d 3d concat(n)))):0==
0001b200: 3d 6e 2e 6c 65 6e 67 74 68 26 26 31 3d 3d 3d 65 =n.length&&1===e
0001b210: 2e 6c 65 6e 67 74 68 26 26 22 73 74 72 69 6e 67 .length&&"string
0001b220: 22 3d 3d 74 79 70 65 6f 66 20 65 5b 30 5d 3f 65 "==typeof e[0]?e
0001b230: 3a 45 65 28 79 65 28 68 28 65 2c 6e 29 29 29 7d :Ee(ye(h(e,n)))}
0001b240: 6e 65 77 20 53 65 74 3b 76 61 72 20 53 65 3d 66 new Set;var Se=f
0001b250: 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 72 unction(e,t,n){r
0001b260: 65 74 75 72 6e 20 76 6f 69 64 20 30 3d 3d 3d 6e eturn void 0===n
0001b270: 26 26 28 6e 3d 76 29 2c 65 2e 74 68 65 6d 65 21 &&(n=v),e.theme!
0001b280: 3d 3d 6e 2e 74 68 65 6d 65 26 26 65 2e 74 68 65 ==n.theme&&e.the
0001b290: 6d 65 7c 7c 74 7c 7c 6e 2e 74 68 65 6d 65 7d 2c me||t||n.theme},
0001b2a0: 77 65 3d 2f 5b 21 22 23 24 25 26 27 28 29 2a 2b we=/[!"#$%&'()*+
0001b2b0: 2c 2e 2f 3a 3b 3c 3d 3e 3f 40 5b 5c 5c 5c 5d 5e ,./:;<=>?@[\\\]^
0001b2c0: 60 7b 7c 7d 7e 2d 5d 2b 2f 67 2c 54 65 3d 2f 28 `{|}~-]+/g,Te=/(
0001b2d0: 5e 2d 7c 2d 24 29 2f 67 3b 66 75 6e 63 74 69 6f ^-|-$)/g;functio
0001b2e0: 6e 20 78 65 28 65 29 7b 72 65 74 75 72 6e 20 65 n xe(e){return e
0001b2f0: 2e 72 65 70 6c 61 63 65 28 77 65 2c 22 2d 22 29 .replace(we,"-")
0001b300: 2e 72 65 70 6c 61 63 65 28 54 65 2c 22 22 29 7d .replace(Te,"")}
0001b310: 76 61 72 20 41 65 3d 66 75 6e 63 74 69 6f 6e 28 var Ae=function(
0001b320: 65 29 7b 72 65 74 75 72 6e 20 5a 28 51 28 65 29 e){return Z(Q(e)
0001b330: 3e 3e 3e 30 29 7d 3b 66 75 6e 63 74 69 6f 6e 20 >>>0)};function
0001b340: 43 65 28 65 29 7b 72 65 74 75 72 6e 22 73 74 72 Ce(e){return"str
0001b350: 69 6e 67 22 3d 3d 74 79 70 65 6f 66 20 65 26 26 ing"==typeof e&&
0001b360: 21 30 7d 76 61 72 20 52 65 3d 66 75 6e 63 74 69 !0}var Re=functi
0001b370: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 22 66 75 6e on(e){return"fun
0001b380: 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 65 ction"==typeof e
0001b390: 7c 7c 22 6f 62 6a 65 63 74 22 3d 3d 74 79 70 65 ||"object"==type
0001b3a0: 6f 66 20 65 26 26 6e 75 6c 6c 21 3d 3d 65 26 26 of e&&null!==e&&
0001b3b0: 21 41 72 72 61 79 2e 69 73 41 72 72 61 79 28 65 !Array.isArray(e
0001b3c0: 29 7d 2c 6b 65 3d 66 75 6e 63 74 69 6f 6e 28 65 )},ke=function(e
0001b3d0: 29 7b 72 65 74 75 72 6e 22 5f 5f 70 72 6f 74 6f ){return"__proto
0001b3e0: 5f 5f 22 21 3d 3d 65 26 26 22 63 6f 6e 73 74 72 __"!==e&&"constr
0001b3f0: 75 63 74 6f 72 22 21 3d 3d 65 26 26 22 70 72 6f uctor"!==e&&"pro
0001b400: 74 6f 74 79 70 65 22 21 3d 3d 65 7d 3b 66 75 6e totype"!==e};fun
0001b410: 63 74 69 6f 6e 20 4f 65 28 65 2c 74 2c 6e 29 7b ction Oe(e,t,n){
0001b420: 76 61 72 20 72 3d 65 5b 6e 5d 3b 52 65 28 74 29 var r=e[n];Re(t)
0001b430: 26 26 52 65 28 72 29 3f 4e 65 28 72 2c 74 29 3a &&Re(r)?Ne(r,t):
0001b440: 65 5b 6e 5d 3d 74 7d 66 75 6e 63 74 69 6f 6e 20 e[n]=t}function
0001b450: 4e 65 28 65 29 7b 66 6f 72 28 76 61 72 20 74 3d Ne(e){for(var t=
0001b460: 61 72 67 75 6d 65 6e 74 73 2e 6c 65 6e 67 74 68 arguments.length
0001b470: 2c 6e 3d 6e 65 77 20 41 72 72 61 79 28 74 3e 31 ,n=new Array(t>1
0001b480: 3f 74 2d 31 3a 30 29 2c 72 3d 31 3b 72 3c 74 3b ?t-1:0),r=1;r<t;
0001b490: 72 2b 2b 29 6e 5b 72 2d 31 5d 3d 61 72 67 75 6d r++)n[r-1]=argum
0001b4a0: 65 6e 74 73 5b 72 5d 3b 66 6f 72 28 76 61 72 20 ents[r];for(var
0001b4b0: 6f 3d 30 2c 69 3d 6e 3b 6f 3c 69 2e 6c 65 6e 67 o=0,i=n;o<i.leng
0001b4c0: 74 68 3b 6f 2b 2b 29 7b 76 61 72 20 61 3d 69 5b th;o++){var a=i[
0001b4d0: 6f 5d 3b 69 66 28 52 65 28 61 29 29 66 6f 72 28 o];if(Re(a))for(
0001b4e0: 76 61 72 20 73 20 69 6e 20 61 29 6b 65 28 73 29 var s in a)ke(s)
0001b4f0: 26 26 4f 65 28 65 2c 61 5b 73 5d 2c 73 29 7d 72 &&Oe(e,a[s],s)}r
0001b500: 65 74 75 72 6e 20 65 7d 76 61 72 20 49 65 3d 6f eturn e}var Ie=o
0001b510: 2e 63 72 65 61 74 65 43 6f 6e 74 65 78 74 28 29 .createContext()
0001b520: 2c 4d 65 3d 49 65 2e 43 6f 6e 73 75 6d 65 72 3b ,Me=Ie.Consumer;
0001b530: 66 75 6e 63 74 69 6f 6e 20 50 65 28 65 29 7b 76 function Pe(e){v
0001b540: 61 72 20 74 3d 28 30 2c 6f 2e 75 73 65 43 6f 6e ar t=(0,o.useCon
0001b550: 74 65 78 74 29 28 49 65 29 2c 6e 3d 28 30 2c 6f text)(Ie),n=(0,o
0001b560: 2e 75 73 65 4d 65 6d 6f 29 28 28 66 75 6e 63 74 .useMemo)((funct
0001b570: 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 66 75 6e ion(){return fun
0001b580: 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 74 75 72 ction(e,t){retur
0001b590: 6e 20 65 3f 79 28 65 29 3f 65 28 74 29 3a 41 72 n e?y(e)?e(t):Ar
0001b5a0: 72 61 79 2e 69 73 41 72 72 61 79 28 65 29 7c 7c ray.isArray(e)||
0001b5b0: 22 6f 62 6a 65 63 74 22 21 3d 74 79 70 65 6f 66 "object"!=typeof
0001b5c0: 20 65 3f 43 28 38 29 3a 74 3f 6d 28 7b 7d 2c 74 e?C(8):t?m({},t
0001b5d0: 2c 7b 7d 2c 65 29 3a 65 3a 43 28 31 34 29 7d 28 ,{},e):e:C(14)}(
0001b5e0: 65 2e 74 68 65 6d 65 2c 74 29 7d 29 2c 5b 65 2e e.theme,t)}),[e.
0001b5f0: 74 68 65 6d 65 2c 74 5d 29 3b 72 65 74 75 72 6e theme,t]);return
0001b600: 20 65 2e 63 68 69 6c 64 72 65 6e 3f 6f 2e 63 72 e.children?o.cr
0001b610: 65 61 74 65 45 6c 65 6d 65 6e 74 28 49 65 2e 50 eateElement(Ie.P
0001b620: 72 6f 76 69 64 65 72 2c 7b 76 61 6c 75 65 3a 6e rovider,{value:n
0001b630: 7d 2c 65 2e 63 68 69 6c 64 72 65 6e 29 3a 6e 75 },e.children):nu
0001b640: 6c 6c 7d 76 61 72 20 44 65 3d 7b 7d 3b 66 75 6e ll}var De={};fun
0001b650: 63 74 69 6f 6e 20 4c 65 28 65 2c 74 2c 6e 29 7b ction Le(e,t,n){
0001b660: 76 61 72 20 72 3d 5f 28 65 29 2c 69 3d 21 43 65 var r=_(e),i=!Ce
0001b670: 28 65 29 2c 61 3d 74 2e 61 74 74 72 73 2c 73 3d (e),a=t.attrs,s=
0001b680: 76 6f 69 64 20 30 3d 3d 3d 61 3f 62 3a 61 2c 6c void 0===a?b:a,l
0001b690: 3d 74 2e 63 6f 6d 70 6f 6e 65 6e 74 49 64 2c 63 =t.componentId,c
0001b6a0: 3d 76 6f 69 64 20 30 3d 3d 3d 6c 3f 66 75 6e 63 =void 0===l?func
0001b6b0: 74 69 6f 6e 28 65 2c 74 29 7b 76 61 72 20 6e 3d tion(e,t){var n=
0001b6c0: 22 73 74 72 69 6e 67 22 21 3d 74 79 70 65 6f 66 "string"!=typeof
0001b6d0: 20 65 3f 22 73 63 22 3a 78 65 28 65 29 3b 44 65 e?"sc":xe(e);De
0001b6e0: 5b 6e 5d 3d 28 44 65 5b 6e 5d 7c 7c 30 29 2b 31 [n]=(De[n]||0)+1
0001b6f0: 3b 76 61 72 20 72 3d 6e 2b 22 2d 22 2b 41 65 28 ;var r=n+"-"+Ae(
0001b700: 22 35 2e 33 2e 31 30 22 2b 6e 2b 44 65 5b 6e 5d "5.3.10"+n+De[n]
0001b710: 29 3b 72 65 74 75 72 6e 20 74 3f 74 2b 22 2d 22 );return t?t+"-"
0001b720: 2b 72 3a 72 7d 28 74 2e 64 69 73 70 6c 61 79 4e +r:r}(t.displayN
0001b730: 61 6d 65 2c 74 2e 70 61 72 65 6e 74 43 6f 6d 70 ame,t.parentComp
0001b740: 6f 6e 65 6e 74 49 64 29 3a 6c 2c 64 3d 74 2e 64 onentId):l,d=t.d
0001b750: 69 73 70 6c 61 79 4e 61 6d 65 2c 66 3d 76 6f 69 isplayName,f=voi
0001b760: 64 20 30 3d 3d 3d 64 3f 66 75 6e 63 74 69 6f 6e d 0===d?function
0001b770: 28 65 29 7b 72 65 74 75 72 6e 20 43 65 28 65 29 (e){return Ce(e)
0001b780: 3f 22 73 74 79 6c 65 64 2e 22 2b 65 3a 22 53 74 ?"styled."+e:"St
0001b790: 79 6c 65 64 28 22 2b 45 28 65 29 2b 22 29 22 7d yled("+E(e)+")"}
0001b7a0: 28 65 29 3a 64 2c 68 3d 74 2e 64 69 73 70 6c 61 (e):d,h=t.displa
0001b7b0: 79 4e 61 6d 65 26 26 74 2e 63 6f 6d 70 6f 6e 65 yName&&t.compone
0001b7c0: 6e 74 49 64 3f 78 65 28 74 2e 64 69 73 70 6c 61 ntId?xe(t.displa
0001b7d0: 79 4e 61 6d 65 29 2b 22 2d 22 2b 74 2e 63 6f 6d yName)+"-"+t.com
0001b7e0: 70 6f 6e 65 6e 74 49 64 3a 74 2e 63 6f 6d 70 6f ponentId:t.compo
0001b7f0: 6e 65 6e 74 49 64 7c 7c 63 2c 67 3d 72 26 26 65 nentId||c,g=r&&e
0001b800: 2e 61 74 74 72 73 3f 41 72 72 61 79 2e 70 72 6f .attrs?Array.pro
0001b810: 74 6f 74 79 70 65 2e 63 6f 6e 63 61 74 28 65 2e totype.concat(e.
0001b820: 61 74 74 72 73 2c 73 29 2e 66 69 6c 74 65 72 28 attrs,s).filter(
0001b830: 42 6f 6f 6c 65 61 6e 29 3a 73 2c 53 3d 74 2e 73 Boolean):s,S=t.s
0001b840: 68 6f 75 6c 64 46 6f 72 77 61 72 64 50 72 6f 70 houldForwardProp
0001b850: 3b 72 26 26 65 2e 73 68 6f 75 6c 64 46 6f 72 77 ;r&&e.shouldForw
0001b860: 61 72 64 50 72 6f 70 26 26 28 53 3d 74 2e 73 68 ardProp&&(S=t.sh
0001b870: 6f 75 6c 64 46 6f 72 77 61 72 64 50 72 6f 70 3f ouldForwardProp?
0001b880: 66 75 6e 63 74 69 6f 6e 28 6e 2c 72 2c 6f 29 7b function(n,r,o){
0001b890: 72 65 74 75 72 6e 20 65 2e 73 68 6f 75 6c 64 46 return e.shouldF
0001b8a0: 6f 72 77 61 72 64 50 72 6f 70 28 6e 2c 72 2c 6f orwardProp(n,r,o
0001b8b0: 29 26 26 74 2e 73 68 6f 75 6c 64 46 6f 72 77 61 )&&t.shouldForwa
0001b8c0: 72 64 50 72 6f 70 28 6e 2c 72 2c 6f 29 7d 3a 65 rdProp(n,r,o)}:e
0001b8d0: 2e 73 68 6f 75 6c 64 46 6f 72 77 61 72 64 50 72 .shouldForwardPr
0001b8e0: 6f 70 29 3b 76 61 72 20 77 2c 54 3d 6e 65 77 20 op);var w,T=new
0001b8f0: 65 65 28 6e 2c 68 2c 72 3f 65 2e 63 6f 6d 70 6f ee(n,h,r?e.compo
0001b900: 6e 65 6e 74 53 74 79 6c 65 3a 76 6f 69 64 20 30 nentStyle:void 0
0001b910: 29 2c 78 3d 54 2e 69 73 53 74 61 74 69 63 26 26 ),x=T.isStatic&&
0001b920: 30 3d 3d 3d 73 2e 6c 65 6e 67 74 68 2c 41 3d 66 0===s.length,A=f
0001b930: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 74 unction(e,t){ret
0001b940: 75 72 6e 20 66 75 6e 63 74 69 6f 6e 28 65 2c 74 urn function(e,t
0001b950: 2c 6e 2c 72 29 7b 76 61 72 20 69 3d 65 2e 61 74 ,n,r){var i=e.at
0001b960: 74 72 73 2c 61 3d 65 2e 63 6f 6d 70 6f 6e 65 6e trs,a=e.componen
0001b970: 74 53 74 79 6c 65 2c 73 3d 65 2e 64 65 66 61 75 tStyle,s=e.defau
0001b980: 6c 74 50 72 6f 70 73 2c 6c 3d 65 2e 66 6f 6c 64 ltProps,l=e.fold
0001b990: 65 64 43 6f 6d 70 6f 6e 65 6e 74 49 64 73 2c 63 edComponentIds,c
0001b9a0: 3d 65 2e 73 68 6f 75 6c 64 46 6f 72 77 61 72 64 =e.shouldForward
0001b9b0: 50 72 6f 70 2c 64 3d 65 2e 73 74 79 6c 65 64 43 Prop,d=e.styledC
0001b9c0: 6f 6d 70 6f 6e 65 6e 74 49 64 2c 70 3d 65 2e 74 omponentId,p=e.t
0001b9d0: 61 72 67 65 74 2c 66 3d 66 75 6e 63 74 69 6f 6e arget,f=function
0001b9e0: 28 65 2c 74 2c 6e 29 7b 76 6f 69 64 20 30 3d 3d (e,t,n){void 0==
0001b9f0: 3d 65 26 26 28 65 3d 76 29 3b 76 61 72 20 72 3d =e&&(e=v);var r=
0001ba00: 6d 28 7b 7d 2c 74 2c 7b 74 68 65 6d 65 3a 65 7d m({},t,{theme:e}
0001ba10: 29 2c 6f 3d 7b 7d 3b 72 65 74 75 72 6e 20 6e 2e ),o={};return n.
0001ba20: 66 6f 72 45 61 63 68 28 28 66 75 6e 63 74 69 6f forEach((functio
0001ba30: 6e 28 65 29 7b 76 61 72 20 74 2c 6e 2c 69 2c 61 n(e){var t,n,i,a
0001ba40: 3d 65 3b 66 6f 72 28 74 20 69 6e 20 79 28 61 29 =e;for(t in y(a)
0001ba50: 26 26 28 61 3d 61 28 72 29 29 2c 61 29 72 5b 74 &&(a=a(r)),a)r[t
0001ba60: 5d 3d 6f 5b 74 5d 3d 22 63 6c 61 73 73 4e 61 6d ]=o[t]="classNam
0001ba70: 65 22 3d 3d 3d 74 3f 28 6e 3d 6f 5b 74 5d 2c 69 e"===t?(n=o[t],i
0001ba80: 3d 61 5b 74 5d 2c 6e 26 26 69 3f 6e 2b 22 20 22 =a[t],n&&i?n+" "
0001ba90: 2b 69 3a 6e 7c 7c 69 29 3a 61 5b 74 5d 7d 29 29 +i:n||i):a[t]}))
0001baa0: 2c 5b 72 2c 6f 5d 7d 28 53 65 28 74 2c 28 30 2c ,[r,o]}(Se(t,(0,
0001bab0: 6f 2e 75 73 65 43 6f 6e 74 65 78 74 29 28 49 65 o.useContext)(Ie
0001bac0: 29 2c 73 29 7c 7c 76 2c 74 2c 69 29 2c 68 3d 66 ),s)||v,t,i),h=f
0001bad0: 5b 30 5d 2c 67 3d 66 5b 31 5d 2c 62 3d 66 75 6e [0],g=f[1],b=fun
0001bae0: 63 74 69 6f 6e 28 65 2c 74 2c 6e 2c 72 29 7b 76 ction(e,t,n,r){v
0001baf0: 61 72 20 6f 3d 63 65 28 29 2c 69 3d 75 65 28 29 ar o=ce(),i=ue()
0001bb00: 3b 72 65 74 75 72 6e 20 74 3f 65 2e 67 65 6e 65 ;return t?e.gene
0001bb10: 72 61 74 65 41 6e 64 49 6e 6a 65 63 74 53 74 79 rateAndInjectSty
0001bb20: 6c 65 73 28 76 2c 6f 2c 69 29 3a 65 2e 67 65 6e les(v,o,i):e.gen
0001bb30: 65 72 61 74 65 41 6e 64 49 6e 6a 65 63 74 53 74 erateAndInjectSt
0001bb40: 79 6c 65 73 28 6e 2c 6f 2c 69 29 7d 28 61 2c 72 yles(n,o,i)}(a,r
0001bb50: 2c 68 29 2c 45 3d 6e 2c 5f 3d 67 2e 24 61 73 7c ,h),E=n,_=g.$as|
0001bb60: 7c 74 2e 24 61 73 7c 7c 67 2e 61 73 7c 7c 74 2e |t.$as||g.as||t.
0001bb70: 61 73 7c 7c 70 2c 53 3d 43 65 28 5f 29 2c 77 3d as||p,S=Ce(_),w=
0001bb80: 67 21 3d 3d 74 3f 6d 28 7b 7d 2c 74 2c 7b 7d 2c g!==t?m({},t,{},
0001bb90: 67 29 3a 74 2c 54 3d 7b 7d 3b 66 6f 72 28 76 61 g):t,T={};for(va
0001bba0: 72 20 78 20 69 6e 20 77 29 22 24 22 21 3d 3d 78 r x in w)"$"!==x
0001bbb0: 5b 30 5d 26 26 22 61 73 22 21 3d 3d 78 26 26 28 [0]&&"as"!==x&&(
0001bbc0: 22 66 6f 72 77 61 72 64 65 64 41 73 22 3d 3d 3d "forwardedAs"===
0001bbd0: 78 3f 54 2e 61 73 3d 77 5b 78 5d 3a 28 63 3f 63 x?T.as=w[x]:(c?c
0001bbe0: 28 78 2c 75 2c 5f 29 3a 21 53 7c 7c 75 28 78 29 (x,u,_):!S||u(x)
0001bbf0: 29 26 26 28 54 5b 78 5d 3d 77 5b 78 5d 29 29 3b )&&(T[x]=w[x]));
0001bc00: 72 65 74 75 72 6e 20 74 2e 73 74 79 6c 65 26 26 return t.style&&
0001bc10: 67 2e 73 74 79 6c 65 21 3d 3d 74 2e 73 74 79 6c g.style!==t.styl
0001bc20: 65 26 26 28 54 2e 73 74 79 6c 65 3d 6d 28 7b 7d e&&(T.style=m({}
0001bc30: 2c 74 2e 73 74 79 6c 65 2c 7b 7d 2c 67 2e 73 74 ,t.style,{},g.st
0001bc40: 79 6c 65 29 29 2c 54 2e 63 6c 61 73 73 4e 61 6d yle)),T.classNam
0001bc50: 65 3d 41 72 72 61 79 2e 70 72 6f 74 6f 74 79 70 e=Array.prototyp
0001bc60: 65 2e 63 6f 6e 63 61 74 28 6c 2c 64 2c 62 21 3d e.concat(l,d,b!=
0001bc70: 3d 64 3f 62 3a 6e 75 6c 6c 2c 74 2e 63 6c 61 73 =d?b:null,t.clas
0001bc80: 73 4e 61 6d 65 2c 67 2e 63 6c 61 73 73 4e 61 6d sName,g.classNam
0001bc90: 65 29 2e 66 69 6c 74 65 72 28 42 6f 6f 6c 65 61 e).filter(Boolea
0001bca0: 6e 29 2e 6a 6f 69 6e 28 22 20 22 29 2c 54 2e 72 n).join(" "),T.r
0001bcb0: 65 66 3d 45 2c 28 30 2c 6f 2e 63 72 65 61 74 65 ef=E,(0,o.create
0001bcc0: 45 6c 65 6d 65 6e 74 29 28 5f 2c 54 29 7d 28 77 Element)(_,T)}(w
0001bcd0: 2c 65 2c 74 2c 78 29 7d 3b 72 65 74 75 72 6e 20 ,e,t,x)};return
0001bce0: 41 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 66 2c A.displayName=f,
0001bcf0: 28 77 3d 6f 2e 66 6f 72 77 61 72 64 52 65 66 28 (w=o.forwardRef(
0001bd00: 41 29 29 2e 61 74 74 72 73 3d 67 2c 77 2e 63 6f A)).attrs=g,w.co
0001bd10: 6d 70 6f 6e 65 6e 74 53 74 79 6c 65 3d 54 2c 77 mponentStyle=T,w
0001bd20: 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 66 2c 77 .displayName=f,w
0001bd30: 2e 73 68 6f 75 6c 64 46 6f 72 77 61 72 64 50 72 .shouldForwardPr
0001bd40: 6f 70 3d 53 2c 77 2e 66 6f 6c 64 65 64 43 6f 6d op=S,w.foldedCom
0001bd50: 70 6f 6e 65 6e 74 49 64 73 3d 72 3f 41 72 72 61 ponentIds=r?Arra
0001bd60: 79 2e 70 72 6f 74 6f 74 79 70 65 2e 63 6f 6e 63 y.prototype.conc
0001bd70: 61 74 28 65 2e 66 6f 6c 64 65 64 43 6f 6d 70 6f at(e.foldedCompo
0001bd80: 6e 65 6e 74 49 64 73 2c 65 2e 73 74 79 6c 65 64 nentIds,e.styled
0001bd90: 43 6f 6d 70 6f 6e 65 6e 74 49 64 29 3a 62 2c 77 ComponentId):b,w
0001bda0: 2e 73 74 79 6c 65 64 43 6f 6d 70 6f 6e 65 6e 74 .styledComponent
0001bdb0: 49 64 3d 68 2c 77 2e 74 61 72 67 65 74 3d 72 3f Id=h,w.target=r?
0001bdc0: 65 2e 74 61 72 67 65 74 3a 65 2c 77 2e 77 69 74 e.target:e,w.wit
0001bdd0: 68 43 6f 6d 70 6f 6e 65 6e 74 3d 66 75 6e 63 74 hComponent=funct
0001bde0: 69 6f 6e 28 65 29 7b 76 61 72 20 72 3d 74 2e 63 ion(e){var r=t.c
0001bdf0: 6f 6d 70 6f 6e 65 6e 74 49 64 2c 6f 3d 66 75 6e omponentId,o=fun
0001be00: 63 74 69 6f 6e 28 65 2c 74 29 7b 69 66 28 6e 75 ction(e,t){if(nu
0001be10: 6c 6c 3d 3d 65 29 72 65 74 75 72 6e 7b 7d 3b 76 ll==e)return{};v
0001be20: 61 72 20 6e 2c 72 2c 6f 3d 7b 7d 2c 69 3d 4f 62 ar n,r,o={},i=Ob
0001be30: 6a 65 63 74 2e 6b 65 79 73 28 65 29 3b 66 6f 72 ject.keys(e);for
0001be40: 28 72 3d 30 3b 72 3c 69 2e 6c 65 6e 67 74 68 3b (r=0;r<i.length;
0001be50: 72 2b 2b 29 6e 3d 69 5b 72 5d 2c 74 2e 69 6e 64 r++)n=i[r],t.ind
0001be60: 65 78 4f 66 28 6e 29 3e 3d 30 7c 7c 28 6f 5b 6e exOf(n)>=0||(o[n
0001be70: 5d 3d 65 5b 6e 5d 29 3b 72 65 74 75 72 6e 20 6f ]=e[n]);return o
0001be80: 7d 28 74 2c 5b 22 63 6f 6d 70 6f 6e 65 6e 74 49 }(t,["componentI
0001be90: 64 22 5d 29 2c 69 3d 72 26 26 72 2b 22 2d 22 2b d"]),i=r&&r+"-"+
0001bea0: 28 43 65 28 65 29 3f 65 3a 78 65 28 45 28 65 29 (Ce(e)?e:xe(E(e)
0001beb0: 29 29 3b 72 65 74 75 72 6e 20 4c 65 28 65 2c 6d ));return Le(e,m
0001bec0: 28 7b 7d 2c 6f 2c 7b 61 74 74 72 73 3a 67 2c 63 ({},o,{attrs:g,c
0001bed0: 6f 6d 70 6f 6e 65 6e 74 49 64 3a 69 7d 29 2c 6e omponentId:i}),n
0001bee0: 29 7d 2c 4f 62 6a 65 63 74 2e 64 65 66 69 6e 65 )},Object.define
0001bef0: 50 72 6f 70 65 72 74 79 28 77 2c 22 64 65 66 61 Property(w,"defa
0001bf00: 75 6c 74 50 72 6f 70 73 22 2c 7b 67 65 74 3a 66 ultProps",{get:f
0001bf10: 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e unction(){return
0001bf20: 20 74 68 69 73 2e 5f 66 6f 6c 64 65 64 44 65 66 this._foldedDef
0001bf30: 61 75 6c 74 50 72 6f 70 73 7d 2c 73 65 74 3a 66 aultProps},set:f
0001bf40: 75 6e 63 74 69 6f 6e 28 74 29 7b 74 68 69 73 2e unction(t){this.
0001bf50: 5f 66 6f 6c 64 65 64 44 65 66 61 75 6c 74 50 72 _foldedDefaultPr
0001bf60: 6f 70 73 3d 72 3f 4e 65 28 7b 7d 2c 65 2e 64 65 ops=r?Ne({},e.de
0001bf70: 66 61 75 6c 74 50 72 6f 70 73 2c 74 29 3a 74 7d faultProps,t):t}
0001bf80: 7d 29 2c 4f 62 6a 65 63 74 2e 64 65 66 69 6e 65 }),Object.define
0001bf90: 50 72 6f 70 65 72 74 79 28 77 2c 22 74 6f 53 74 Property(w,"toSt
0001bfa0: 72 69 6e 67 22 2c 7b 76 61 6c 75 65 3a 66 75 6e ring",{value:fun
0001bfb0: 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 22 2e ction(){return".
0001bfc0: 22 2b 77 2e 73 74 79 6c 65 64 43 6f 6d 70 6f 6e "+w.styledCompon
0001bfd0: 65 6e 74 49 64 7d 7d 29 2c 69 26 26 70 28 29 28 entId}}),i&&p()(
0001bfe0: 77 2c 65 2c 7b 61 74 74 72 73 3a 21 30 2c 63 6f w,e,{attrs:!0,co
0001bff0: 6d 70 6f 6e 65 6e 74 53 74 79 6c 65 3a 21 30 2c mponentStyle:!0,
0001c000: 64 69 73 70 6c 61 79 4e 61 6d 65 3a 21 30 2c 66 displayName:!0,f
0001c010: 6f 6c 64 65 64 43 6f 6d 70 6f 6e 65 6e 74 49 64 oldedComponentId
0001c020: 73 3a 21 30 2c 73 68 6f 75 6c 64 46 6f 72 77 61 s:!0,shouldForwa
0001c030: 72 64 50 72 6f 70 3a 21 30 2c 73 74 79 6c 65 64 rdProp:!0,styled
0001c040: 43 6f 6d 70 6f 6e 65 6e 74 49 64 3a 21 30 2c 74 ComponentId:!0,t
0001c050: 61 72 67 65 74 3a 21 30 2c 77 69 74 68 43 6f 6d arget:!0,withCom
0001c060: 70 6f 6e 65 6e 74 3a 21 30 7d 29 2c 77 7d 76 61 ponent:!0}),w}va
0001c070: 72 20 46 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 r Fe=function(e)
0001c080: 7b 72 65 74 75 72 6e 20 66 75 6e 63 74 69 6f 6e {return function
0001c090: 20 65 28 74 2c 6e 2c 6f 29 7b 69 66 28 76 6f 69 e(t,n,o){if(voi
0001c0a0: 64 20 30 3d 3d 3d 6f 26 26 28 6f 3d 76 29 2c 21 d 0===o&&(o=v),!
0001c0b0: 28 30 2c 72 2e 69 73 56 61 6c 69 64 45 6c 65 6d (0,r.isValidElem
0001c0c0: 65 6e 74 54 79 70 65 29 28 6e 29 29 72 65 74 75 entType)(n))retu
0001c0d0: 72 6e 20 43 28 31 2c 53 74 72 69 6e 67 28 6e 29 rn C(1,String(n)
0001c0e0: 29 3b 76 61 72 20 69 3d 66 75 6e 63 74 69 6f 6e );var i=function
0001c0f0: 28 29 7b 72 65 74 75 72 6e 20 74 28 6e 2c 6f 2c (){return t(n,o,
0001c100: 5f 65 2e 61 70 70 6c 79 28 76 6f 69 64 20 30 2c _e.apply(void 0,
0001c110: 61 72 67 75 6d 65 6e 74 73 29 29 7d 3b 72 65 74 arguments))};ret
0001c120: 75 72 6e 20 69 2e 77 69 74 68 43 6f 6e 66 69 67 urn i.withConfig
0001c130: 3d 66 75 6e 63 74 69 6f 6e 28 72 29 7b 72 65 74 =function(r){ret
0001c140: 75 72 6e 20 65 28 74 2c 6e 2c 6d 28 7b 7d 2c 6f urn e(t,n,m({},o
0001c150: 2c 7b 7d 2c 72 29 29 7d 2c 69 2e 61 74 74 72 73 ,{},r))},i.attrs
0001c160: 3d 66 75 6e 63 74 69 6f 6e 28 72 29 7b 72 65 74 =function(r){ret
0001c170: 75 72 6e 20 65 28 74 2c 6e 2c 6d 28 7b 7d 2c 6f urn e(t,n,m({},o
0001c180: 2c 7b 61 74 74 72 73 3a 41 72 72 61 79 2e 70 72 ,{attrs:Array.pr
0001c190: 6f 74 6f 74 79 70 65 2e 63 6f 6e 63 61 74 28 6f ototype.concat(o
0001c1a0: 2e 61 74 74 72 73 2c 72 29 2e 66 69 6c 74 65 72 .attrs,r).filter
0001c1b0: 28 42 6f 6f 6c 65 61 6e 29 7d 29 29 7d 2c 69 7d (Boolean)}))},i}
0001c1c0: 28 4c 65 2c 65 29 7d 3b 5b 22 61 22 2c 22 61 62 (Le,e)};["a","ab
0001c1d0: 62 72 22 2c 22 61 64 64 72 65 73 73 22 2c 22 61 br","address","a
0001c1e0: 72 65 61 22 2c 22 61 72 74 69 63 6c 65 22 2c 22 rea","article","
0001c1f0: 61 73 69 64 65 22 2c 22 61 75 64 69 6f 22 2c 22 aside","audio","
0001c200: 62 22 2c 22 62 61 73 65 22 2c 22 62 64 69 22 2c b","base","bdi",
0001c210: 22 62 64 6f 22 2c 22 62 69 67 22 2c 22 62 6c 6f "bdo","big","blo
0001c220: 63 6b 71 75 6f 74 65 22 2c 22 62 6f 64 79 22 2c ckquote","body",
0001c230: 22 62 72 22 2c 22 62 75 74 74 6f 6e 22 2c 22 63 "br","button","c
0001c240: 61 6e 76 61 73 22 2c 22 63 61 70 74 69 6f 6e 22 anvas","caption"
0001c250: 2c 22 63 69 74 65 22 2c 22 63 6f 64 65 22 2c 22 ,"cite","code","
0001c260: 63 6f 6c 22 2c 22 63 6f 6c 67 72 6f 75 70 22 2c col","colgroup",
0001c270: 22 64 61 74 61 22 2c 22 64 61 74 61 6c 69 73 74 "data","datalist
0001c280: 22 2c 22 64 64 22 2c 22 64 65 6c 22 2c 22 64 65 ","dd","del","de
0001c290: 74 61 69 6c 73 22 2c 22 64 66 6e 22 2c 22 64 69 tails","dfn","di
0001c2a0: 61 6c 6f 67 22 2c 22 64 69 76 22 2c 22 64 6c 22 alog","div","dl"
0001c2b0: 2c 22 64 74 22 2c 22 65 6d 22 2c 22 65 6d 62 65 ,"dt","em","embe
0001c2c0: 64 22 2c 22 66 69 65 6c 64 73 65 74 22 2c 22 66 d","fieldset","f
0001c2d0: 69 67 63 61 70 74 69 6f 6e 22 2c 22 66 69 67 75 igcaption","figu
0001c2e0: 72 65 22 2c 22 66 6f 6f 74 65 72 22 2c 22 66 6f re","footer","fo
0001c2f0: 72 6d 22 2c 22 68 31 22 2c 22 68 32 22 2c 22 68 rm","h1","h2","h
0001c300: 33 22 2c 22 68 34 22 2c 22 68 35 22 2c 22 68 36 3","h4","h5","h6
0001c310: 22 2c 22 68 65 61 64 22 2c 22 68 65 61 64 65 72 ","head","header
0001c320: 22 2c 22 68 67 72 6f 75 70 22 2c 22 68 72 22 2c ","hgroup","hr",
0001c330: 22 68 74 6d 6c 22 2c 22 69 22 2c 22 69 66 72 61 "html","i","ifra
0001c340: 6d 65 22 2c 22 69 6d 67 22 2c 22 69 6e 70 75 74 me","img","input
0001c350: 22 2c 22 69 6e 73 22 2c 22 6b 62 64 22 2c 22 6b ","ins","kbd","k
0001c360: 65 79 67 65 6e 22 2c 22 6c 61 62 65 6c 22 2c 22 eygen","label","
0001c370: 6c 65 67 65 6e 64 22 2c 22 6c 69 22 2c 22 6c 69 legend","li","li
0001c380: 6e 6b 22 2c 22 6d 61 69 6e 22 2c 22 6d 61 70 22 nk","main","map"
0001c390: 2c 22 6d 61 72 6b 22 2c 22 6d 61 72 71 75 65 65 ,"mark","marquee
0001c3a0: 22 2c 22 6d 65 6e 75 22 2c 22 6d 65 6e 75 69 74 ","menu","menuit
0001c3b0: 65 6d 22 2c 22 6d 65 74 61 22 2c 22 6d 65 74 65 em","meta","mete
0001c3c0: 72 22 2c 22 6e 61 76 22 2c 22 6e 6f 73 63 72 69 r","nav","noscri
0001c3d0: 70 74 22 2c 22 6f 62 6a 65 63 74 22 2c 22 6f 6c pt","object","ol
0001c3e0: 22 2c 22 6f 70 74 67 72 6f 75 70 22 2c 22 6f 70 ","optgroup","op
0001c3f0: 74 69 6f 6e 22 2c 22 6f 75 74 70 75 74 22 2c 22 tion","output","
0001c400: 70 22 2c 22 70 61 72 61 6d 22 2c 22 70 69 63 74 p","param","pict
0001c410: 75 72 65 22 2c 22 70 72 65 22 2c 22 70 72 6f 67 ure","pre","prog
0001c420: 72 65 73 73 22 2c 22 71 22 2c 22 72 70 22 2c 22 ress","q","rp","
0001c430: 72 74 22 2c 22 72 75 62 79 22 2c 22 73 22 2c 22 rt","ruby","s","
0001c440: 73 61 6d 70 22 2c 22 73 63 72 69 70 74 22 2c 22 samp","script","
0001c450: 73 65 63 74 69 6f 6e 22 2c 22 73 65 6c 65 63 74 section","select
0001c460: 22 2c 22 73 6d 61 6c 6c 22 2c 22 73 6f 75 72 63 ","small","sourc
0001c470: 65 22 2c 22 73 70 61 6e 22 2c 22 73 74 72 6f 6e e","span","stron
0001c480: 67 22 2c 22 73 74 79 6c 65 22 2c 22 73 75 62 22 g","style","sub"
0001c490: 2c 22 73 75 6d 6d 61 72 79 22 2c 22 73 75 70 22 ,"summary","sup"
0001c4a0: 2c 22 74 61 62 6c 65 22 2c 22 74 62 6f 64 79 22 ,"table","tbody"
0001c4b0: 2c 22 74 64 22 2c 22 74 65 78 74 61 72 65 61 22 ,"td","textarea"
0001c4c0: 2c 22 74 66 6f 6f 74 22 2c 22 74 68 22 2c 22 74 ,"tfoot","th","t
0001c4d0: 68 65 61 64 22 2c 22 74 69 6d 65 22 2c 22 74 69 head","time","ti
0001c4e0: 74 6c 65 22 2c 22 74 72 22 2c 22 74 72 61 63 6b tle","tr","track
0001c4f0: 22 2c 22 75 22 2c 22 75 6c 22 2c 22 76 61 72 22 ","u","ul","var"
0001c500: 2c 22 76 69 64 65 6f 22 2c 22 77 62 72 22 2c 22 ,"video","wbr","
0001c510: 63 69 72 63 6c 65 22 2c 22 63 6c 69 70 50 61 74 circle","clipPat
0001c520: 68 22 2c 22 64 65 66 73 22 2c 22 65 6c 6c 69 70 h","defs","ellip
0001c530: 73 65 22 2c 22 66 6f 72 65 69 67 6e 4f 62 6a 65 se","foreignObje
0001c540: 63 74 22 2c 22 67 22 2c 22 69 6d 61 67 65 22 2c ct","g","image",
0001c550: 22 6c 69 6e 65 22 2c 22 6c 69 6e 65 61 72 47 72 "line","linearGr
0001c560: 61 64 69 65 6e 74 22 2c 22 6d 61 72 6b 65 72 22 adient","marker"
0001c570: 2c 22 6d 61 73 6b 22 2c 22 70 61 74 68 22 2c 22 ,"mask","path","
0001c580: 70 61 74 74 65 72 6e 22 2c 22 70 6f 6c 79 67 6f pattern","polygo
0001c590: 6e 22 2c 22 70 6f 6c 79 6c 69 6e 65 22 2c 22 72 n","polyline","r
0001c5a0: 61 64 69 61 6c 47 72 61 64 69 65 6e 74 22 2c 22 adialGradient","
0001c5b0: 72 65 63 74 22 2c 22 73 74 6f 70 22 2c 22 73 76 rect","stop","sv
0001c5c0: 67 22 2c 22 74 65 78 74 22 2c 22 74 65 78 74 50 g","text","textP
0001c5d0: 61 74 68 22 2c 22 74 73 70 61 6e 22 5d 2e 66 6f ath","tspan"].fo
0001c5e0: 72 45 61 63 68 28 28 66 75 6e 63 74 69 6f 6e 28 rEach((function(
0001c5f0: 65 29 7b 46 65 5b 65 5d 3d 46 65 28 65 29 7d 29 e){Fe[e]=Fe(e)})
0001c600: 29 3b 76 61 72 20 55 65 3d 66 75 6e 63 74 69 6f );var Ue=functio
0001c610: 6e 28 29 7b 66 75 6e 63 74 69 6f 6e 20 65 28 65 n(){function e(e
0001c620: 2c 74 29 7b 74 68 69 73 2e 72 75 6c 65 73 3d 65 ,t){this.rules=e
0001c630: 2c 74 68 69 73 2e 63 6f 6d 70 6f 6e 65 6e 74 49 ,this.componentI
0001c640: 64 3d 74 2c 74 68 69 73 2e 69 73 53 74 61 74 69 d=t,this.isStati
0001c650: 63 3d 4a 28 65 29 2c 57 2e 72 65 67 69 73 74 65 c=J(e),W.registe
0001c660: 72 49 64 28 74 68 69 73 2e 63 6f 6d 70 6f 6e 65 rId(this.compone
0001c670: 6e 74 49 64 2b 31 29 7d 76 61 72 20 74 3d 65 2e ntId+1)}var t=e.
0001c680: 70 72 6f 74 6f 74 79 70 65 3b 72 65 74 75 72 6e prototype;return
0001c690: 20 74 2e 63 72 65 61 74 65 53 74 79 6c 65 73 3d t.createStyles=
0001c6a0: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 2c 72 function(e,t,n,r
0001c6b0: 29 7b 76 61 72 20 6f 3d 72 28 79 65 28 74 68 69 ){var o=r(ye(thi
0001c6c0: 73 2e 72 75 6c 65 73 2c 74 2c 6e 2c 72 29 2e 6a s.rules,t,n,r).j
0001c6d0: 6f 69 6e 28 22 22 29 2c 22 22 29 2c 69 3d 74 68 oin(""),""),i=th
0001c6e0: 69 73 2e 63 6f 6d 70 6f 6e 65 6e 74 49 64 2b 65 is.componentId+e
0001c6f0: 3b 6e 2e 69 6e 73 65 72 74 52 75 6c 65 73 28 69 ;n.insertRules(i
0001c700: 2c 69 2c 6f 29 7d 2c 74 2e 72 65 6d 6f 76 65 53 ,i,o)},t.removeS
0001c710: 74 79 6c 65 73 3d 66 75 6e 63 74 69 6f 6e 28 65 tyles=function(e
0001c720: 2c 74 29 7b 74 2e 63 6c 65 61 72 52 75 6c 65 73 ,t){t.clearRules
0001c730: 28 74 68 69 73 2e 63 6f 6d 70 6f 6e 65 6e 74 49 (this.componentI
0001c740: 64 2b 65 29 7d 2c 74 2e 72 65 6e 64 65 72 53 74 d+e)},t.renderSt
0001c750: 79 6c 65 73 3d 66 75 6e 63 74 69 6f 6e 28 65 2c yles=function(e,
0001c760: 74 2c 6e 2c 72 29 7b 65 3e 32 26 26 57 2e 72 65 t,n,r){e>2&&W.re
0001c770: 67 69 73 74 65 72 49 64 28 74 68 69 73 2e 63 6f gisterId(this.co
0001c780: 6d 70 6f 6e 65 6e 74 49 64 2b 65 29 2c 74 68 69 mponentId+e),thi
0001c790: 73 2e 72 65 6d 6f 76 65 53 74 79 6c 65 73 28 65 s.removeStyles(e
0001c7a0: 2c 6e 29 2c 74 68 69 73 2e 63 72 65 61 74 65 53 ,n),this.createS
0001c7b0: 74 79 6c 65 73 28 65 2c 74 2c 6e 2c 72 29 7d 2c tyles(e,t,n,r)},
0001c7c0: 65 7d 28 29 3b 66 75 6e 63 74 69 6f 6e 20 42 65 e}();function Be
0001c7d0: 28 65 29 7b 66 6f 72 28 76 61 72 20 74 3d 61 72 (e){for(var t=ar
0001c7e0: 67 75 6d 65 6e 74 73 2e 6c 65 6e 67 74 68 2c 6e guments.length,n
0001c7f0: 3d 6e 65 77 20 41 72 72 61 79 28 74 3e 31 3f 74 =new Array(t>1?t
0001c800: 2d 31 3a 30 29 2c 72 3d 31 3b 72 3c 74 3b 72 2b -1:0),r=1;r<t;r+
0001c810: 2b 29 6e 5b 72 2d 31 5d 3d 61 72 67 75 6d 65 6e +)n[r-1]=argumen
0001c820: 74 73 5b 72 5d 3b 76 61 72 20 69 3d 5f 65 2e 61 ts[r];var i=_e.a
0001c830: 70 70 6c 79 28 76 6f 69 64 20 30 2c 5b 65 5d 2e pply(void 0,[e].
0001c840: 63 6f 6e 63 61 74 28 6e 29 29 2c 61 3d 22 73 63 concat(n)),a="sc
0001c850: 2d 67 6c 6f 62 61 6c 2d 22 2b 41 65 28 4a 53 4f -global-"+Ae(JSO
0001c860: 4e 2e 73 74 72 69 6e 67 69 66 79 28 69 29 29 2c N.stringify(i)),
0001c870: 73 3d 6e 65 77 20 55 65 28 69 2c 61 29 3b 66 75 s=new Ue(i,a);fu
0001c880: 6e 63 74 69 6f 6e 20 6c 28 65 29 7b 76 61 72 20 nction l(e){var
0001c890: 74 3d 63 65 28 29 2c 6e 3d 75 65 28 29 2c 72 3d t=ce(),n=ue(),r=
0001c8a0: 28 30 2c 6f 2e 75 73 65 43 6f 6e 74 65 78 74 29 (0,o.useContext)
0001c8b0: 28 49 65 29 2c 69 3d 28 30 2c 6f 2e 75 73 65 52 (Ie),i=(0,o.useR
0001c8c0: 65 66 29 28 74 2e 61 6c 6c 6f 63 61 74 65 47 53 ef)(t.allocateGS
0001c8d0: 49 6e 73 74 61 6e 63 65 28 61 29 29 2e 63 75 72 Instance(a)).cur
0001c8e0: 72 65 6e 74 3b 72 65 74 75 72 6e 20 74 2e 73 65 rent;return t.se
0001c8f0: 72 76 65 72 26 26 63 28 69 2c 65 2c 74 2c 72 2c rver&&c(i,e,t,r,
0001c900: 6e 29 2c 28 30 2c 6f 2e 75 73 65 4c 61 79 6f 75 n),(0,o.useLayou
0001c910: 74 45 66 66 65 63 74 29 28 28 66 75 6e 63 74 69 tEffect)((functi
0001c920: 6f 6e 28 29 7b 69 66 28 21 74 2e 73 65 72 76 65 on(){if(!t.serve
0001c930: 72 29 72 65 74 75 72 6e 20 63 28 69 2c 65 2c 74 r)return c(i,e,t
0001c940: 2c 72 2c 6e 29 2c 66 75 6e 63 74 69 6f 6e 28 29 ,r,n),function()
0001c950: 7b 72 65 74 75 72 6e 20 73 2e 72 65 6d 6f 76 65 {return s.remove
0001c960: 53 74 79 6c 65 73 28 69 2c 74 29 7d 7d 29 2c 5b Styles(i,t)}}),[
0001c970: 69 2c 65 2c 74 2c 72 2c 6e 5d 29 2c 6e 75 6c 6c i,e,t,r,n]),null
0001c980: 7d 66 75 6e 63 74 69 6f 6e 20 63 28 65 2c 74 2c }function c(e,t,
0001c990: 6e 2c 72 2c 6f 29 7b 69 66 28 73 2e 69 73 53 74 n,r,o){if(s.isSt
0001c9a0: 61 74 69 63 29 73 2e 72 65 6e 64 65 72 53 74 79 atic)s.renderSty
0001c9b0: 6c 65 73 28 65 2c 41 2c 6e 2c 6f 29 3b 65 6c 73 les(e,A,n,o);els
0001c9c0: 65 7b 76 61 72 20 69 3d 6d 28 7b 7d 2c 74 2c 7b e{var i=m({},t,{
0001c9d0: 74 68 65 6d 65 3a 53 65 28 74 2c 72 2c 6c 2e 64 theme:Se(t,r,l.d
0001c9e0: 65 66 61 75 6c 74 50 72 6f 70 73 29 7d 29 3b 73 efaultProps)});s
0001c9f0: 2e 72 65 6e 64 65 72 53 74 79 6c 65 73 28 65 2c .renderStyles(e,
0001ca00: 69 2c 6e 2c 6f 29 7d 7d 72 65 74 75 72 6e 20 6f i,n,o)}}return o
0001ca10: 2e 6d 65 6d 6f 28 6c 29 7d 66 75 6e 63 74 69 6f .memo(l)}functio
0001ca20: 6e 20 6a 65 28 65 29 7b 66 6f 72 28 76 61 72 20 n je(e){for(var
0001ca30: 74 3d 61 72 67 75 6d 65 6e 74 73 2e 6c 65 6e 67 t=arguments.leng
0001ca40: 74 68 2c 6e 3d 6e 65 77 20 41 72 72 61 79 28 74 th,n=new Array(t
0001ca50: 3e 31 3f 74 2d 31 3a 30 29 2c 72 3d 31 3b 72 3c >1?t-1:0),r=1;r<
0001ca60: 74 3b 72 2b 2b 29 6e 5b 72 2d 31 5d 3d 61 72 67 t;r++)n[r-1]=arg
0001ca70: 75 6d 65 6e 74 73 5b 72 5d 3b 76 61 72 20 6f 3d uments[r];var o=
0001ca80: 5f 65 2e 61 70 70 6c 79 28 76 6f 69 64 20 30 2c _e.apply(void 0,
0001ca90: 5b 65 5d 2e 63 6f 6e 63 61 74 28 6e 29 29 2e 6a [e].concat(n)).j
0001caa0: 6f 69 6e 28 22 22 29 2c 69 3d 41 65 28 6f 29 3b oin(""),i=Ae(o);
0001cab0: 72 65 74 75 72 6e 20 6e 65 77 20 70 65 28 69 2c return new pe(i,
0001cac0: 6f 29 7d 76 61 72 20 7a 65 3d 66 75 6e 63 74 69 o)}var ze=functi
0001cad0: 6f 6e 28 29 7b 66 75 6e 63 74 69 6f 6e 20 65 28 on(){function e(
0001cae0: 29 7b 76 61 72 20 65 3d 74 68 69 73 3b 74 68 69 ){var e=this;thi
0001caf0: 73 2e 5f 65 6d 69 74 53 68 65 65 74 43 53 53 3d s._emitSheetCSS=
0001cb00: 66 75 6e 63 74 69 6f 6e 28 29 7b 76 61 72 20 74 function(){var t
0001cb10: 3d 65 2e 69 6e 73 74 61 6e 63 65 2e 74 6f 53 74 =e.instance.toSt
0001cb20: 72 69 6e 67 28 29 3b 69 66 28 21 74 29 72 65 74 ring();if(!t)ret
0001cb30: 75 72 6e 22 22 3b 76 61 72 20 6e 3d 42 28 29 3b urn"";var n=B();
0001cb40: 72 65 74 75 72 6e 22 3c 73 74 79 6c 65 20 22 2b return"<style "+
0001cb50: 5b 6e 26 26 27 6e 6f 6e 63 65 3d 22 27 2b 6e 2b [n&&'nonce="'+n+
0001cb60: 27 22 27 2c 53 2b 27 3d 22 74 72 75 65 22 27 2c '"',S+'="true"',
0001cb70: 27 64 61 74 61 2d 73 74 79 6c 65 64 2d 76 65 72 'data-styled-ver
0001cb80: 73 69 6f 6e 3d 22 35 2e 33 2e 31 30 22 27 5d 2e sion="5.3.10"'].
0001cb90: 66 69 6c 74 65 72 28 42 6f 6f 6c 65 61 6e 29 2e filter(Boolean).
0001cba0: 6a 6f 69 6e 28 22 20 22 29 2b 22 3e 22 2b 74 2b join(" ")+">"+t+
0001cbb0: 22 3c 2f 73 74 79 6c 65 3e 22 7d 2c 74 68 69 73 "</style>"},this
0001cbc0: 2e 67 65 74 53 74 79 6c 65 54 61 67 73 3d 66 75 .getStyleTags=fu
0001cbd0: 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 nction(){return
0001cbe0: 65 2e 73 65 61 6c 65 64 3f 43 28 32 29 3a 65 2e e.sealed?C(2):e.
0001cbf0: 5f 65 6d 69 74 53 68 65 65 74 43 53 53 28 29 7d _emitSheetCSS()}
0001cc00: 2c 74 68 69 73 2e 67 65 74 53 74 79 6c 65 45 6c ,this.getStyleEl
0001cc10: 65 6d 65 6e 74 3d 66 75 6e 63 74 69 6f 6e 28 29 ement=function()
0001cc20: 7b 76 61 72 20 74 3b 69 66 28 65 2e 73 65 61 6c {var t;if(e.seal
0001cc30: 65 64 29 72 65 74 75 72 6e 20 43 28 32 29 3b 76 ed)return C(2);v
0001cc40: 61 72 20 6e 3d 28 28 74 3d 7b 7d 29 5b 53 5d 3d ar n=((t={})[S]=
0001cc50: 22 22 2c 74 5b 22 64 61 74 61 2d 73 74 79 6c 65 "",t["data-style
0001cc60: 64 2d 76 65 72 73 69 6f 6e 22 5d 3d 22 35 2e 33 d-version"]="5.3
0001cc70: 2e 31 30 22 2c 74 2e 64 61 6e 67 65 72 6f 75 73 .10",t.dangerous
0001cc80: 6c 79 53 65 74 49 6e 6e 65 72 48 54 4d 4c 3d 7b lySetInnerHTML={
0001cc90: 5f 5f 68 74 6d 6c 3a 65 2e 69 6e 73 74 61 6e 63 __html:e.instanc
0001cca0: 65 2e 74 6f 53 74 72 69 6e 67 28 29 7d 2c 74 29 e.toString()},t)
0001ccb0: 2c 72 3d 42 28 29 3b 72 65 74 75 72 6e 20 72 26 ,r=B();return r&
0001ccc0: 26 28 6e 2e 6e 6f 6e 63 65 3d 72 29 2c 5b 6f 2e &(n.nonce=r),[o.
0001ccd0: 63 72 65 61 74 65 45 6c 65 6d 65 6e 74 28 22 73 createElement("s
0001cce0: 74 79 6c 65 22 2c 6d 28 7b 7d 2c 6e 2c 7b 6b 65 tyle",m({},n,{ke
0001ccf0: 79 3a 22 73 63 2d 30 2d 30 22 7d 29 29 5d 7d 2c y:"sc-0-0"}))]},
0001cd00: 74 68 69 73 2e 73 65 61 6c 3d 66 75 6e 63 74 69 this.seal=functi
0001cd10: 6f 6e 28 29 7b 65 2e 73 65 61 6c 65 64 3d 21 30 on(){e.sealed=!0
0001cd20: 7d 2c 74 68 69 73 2e 69 6e 73 74 61 6e 63 65 3d },this.instance=
0001cd30: 6e 65 77 20 57 28 7b 69 73 53 65 72 76 65 72 3a new W({isServer:
0001cd40: 21 30 7d 29 2c 74 68 69 73 2e 73 65 61 6c 65 64 !0}),this.sealed
0001cd50: 3d 21 31 7d 76 61 72 20 74 3d 65 2e 70 72 6f 74 =!1}var t=e.prot
0001cd60: 6f 74 79 70 65 3b 72 65 74 75 72 6e 20 74 2e 63 otype;return t.c
0001cd70: 6f 6c 6c 65 63 74 53 74 79 6c 65 73 3d 66 75 6e ollectStyles=fun
0001cd80: 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 ction(e){return
0001cd90: 74 68 69 73 2e 73 65 61 6c 65 64 3f 43 28 32 29 this.sealed?C(2)
0001cda0: 3a 6f 2e 63 72 65 61 74 65 45 6c 65 6d 65 6e 74 :o.createElement
0001cdb0: 28 64 65 2c 7b 73 68 65 65 74 3a 74 68 69 73 2e (de,{sheet:this.
0001cdc0: 69 6e 73 74 61 6e 63 65 7d 2c 65 29 7d 2c 74 2e instance},e)},t.
0001cdd0: 69 6e 74 65 72 6c 65 61 76 65 57 69 74 68 4e 6f interleaveWithNo
0001cde0: 64 65 53 74 72 65 61 6d 3d 66 75 6e 63 74 69 6f deStream=functio
0001cdf0: 6e 28 65 29 7b 72 65 74 75 72 6e 20 43 28 33 29 n(e){return C(3)
0001ce00: 7d 2c 65 7d 28 29 2c 47 65 3d 66 75 6e 63 74 69 },e}(),Ge=functi
0001ce10: 6f 6e 28 65 29 7b 76 61 72 20 74 3d 6f 2e 66 6f on(e){var t=o.fo
0001ce20: 72 77 61 72 64 52 65 66 28 28 66 75 6e 63 74 69 rwardRef((functi
0001ce30: 6f 6e 28 74 2c 6e 29 7b 76 61 72 20 72 3d 28 30 on(t,n){var r=(0
0001ce40: 2c 6f 2e 75 73 65 43 6f 6e 74 65 78 74 29 28 49 ,o.useContext)(I
0001ce50: 65 29 2c 69 3d 65 2e 64 65 66 61 75 6c 74 50 72 e),i=e.defaultPr
0001ce60: 6f 70 73 2c 61 3d 53 65 28 74 2c 72 2c 69 29 3b ops,a=Se(t,r,i);
0001ce70: 72 65 74 75 72 6e 20 6f 2e 63 72 65 61 74 65 45 return o.createE
0001ce80: 6c 65 6d 65 6e 74 28 65 2c 6d 28 7b 7d 2c 74 2c lement(e,m({},t,
0001ce90: 7b 74 68 65 6d 65 3a 61 2c 72 65 66 3a 6e 7d 29 {theme:a,ref:n})
0001cea0: 29 7d 29 29 3b 72 65 74 75 72 6e 20 70 28 29 28 )}));return p()(
0001ceb0: 74 2c 65 29 2c 74 2e 64 69 73 70 6c 61 79 4e 61 t,e),t.displayNa
0001cec0: 6d 65 3d 22 57 69 74 68 54 68 65 6d 65 28 22 2b me="WithTheme("+
0001ced0: 45 28 65 29 2b 22 29 22 2c 74 7d 2c 48 65 3d 66 E(e)+")",t},He=f
0001cee0: 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e unction(){return
0001cef0: 28 30 2c 6f 2e 75 73 65 43 6f 6e 74 65 78 74 29 (0,o.useContext)
0001cf00: 28 49 65 29 7d 2c 56 65 3d 7b 53 74 79 6c 65 53 (Ie)},Ve={StyleS
0001cf10: 68 65 65 74 3a 57 2c 6d 61 73 74 65 72 53 68 65 heet:W,masterShe
0001cf20: 65 74 3a 73 65 7d 2c 71 65 3d 46 65 7d 2c 37 39 et:se},qe=Fe},79
0001cf30: 39 33 34 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 934:function(e,t
0001cf40: 2c 6e 29 7b 21 66 75 6e 63 74 69 6f 6e 28 65 29 ,n){!function(e)
0001cf50: 7b 22 75 73 65 20 73 74 72 69 63 74 22 3b 76 61 {"use strict";va
0001cf60: 72 20 74 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b r t=function(e){
0001cf70: 76 61 72 20 74 2c 6e 3d 6e 65 77 20 46 6c 6f 61 var t,n=new Floa
0001cf80: 74 36 34 41 72 72 61 79 28 31 36 29 3b 69 66 28 t64Array(16);if(
0001cf90: 65 29 66 6f 72 28 74 3d 30 3b 74 3c 65 2e 6c 65 e)for(t=0;t<e.le
0001cfa0: 6e 67 74 68 3b 74 2b 2b 29 6e 5b 74 5d 3d 65 5b ngth;t++)n[t]=e[
0001cfb0: 74 5d 3b 72 65 74 75 72 6e 20 6e 7d 2c 72 3d 66 t];return n},r=f
0001cfc0: 75 6e 63 74 69 6f 6e 28 29 7b 74 68 72 6f 77 20 unction(){throw
0001cfd0: 6e 65 77 20 45 72 72 6f 72 28 22 6e 6f 20 50 52 new Error("no PR
0001cfe0: 4e 47 22 29 7d 2c 6f 3d 6e 65 77 20 55 69 6e 74 NG")},o=new Uint
0001cff0: 38 41 72 72 61 79 28 31 36 29 2c 69 3d 6e 65 77 8Array(16),i=new
0001d000: 20 55 69 6e 74 38 41 72 72 61 79 28 33 32 29 3b Uint8Array(32);
0001d010: 69 5b 30 5d 3d 39 3b 76 61 72 20 61 3d 74 28 29 i[0]=9;var a=t()
0001d020: 2c 73 3d 74 28 5b 31 5d 29 2c 6c 3d 74 28 5b 35 ,s=t([1]),l=t([5
0001d030: 36 31 32 39 2c 31 5d 29 2c 63 3d 74 28 5b 33 30 6129,1]),c=t([30
0001d040: 38 38 33 2c 34 39 35 33 2c 31 39 39 31 34 2c 33 883,4953,19914,3
0001d050: 30 31 38 37 2c 35 35 34 36 37 2c 31 36 37 30 35 0187,55467,16705
0001d060: 2c 32 36 33 37 2c 31 31 32 2c 35 39 35 34 34 2c ,2637,112,59544,
0001d070: 33 30 35 38 35 2c 31 36 35 30 35 2c 33 36 30 33 30585,16505,3603
0001d080: 39 2c 36 35 31 33 39 2c 31 31 31 31 39 2c 32 37 9,65139,11119,27
0001d090: 38 38 36 2c 32 30 39 39 35 5d 29 2c 75 3d 74 28 886,20995]),u=t(
0001d0a0: 5b 36 31 37 38 35 2c 39 39 30 36 2c 33 39 38 32 [61785,9906,3982
0001d0b0: 38 2c 36 30 33 37 34 2c 34 35 33 39 38 2c 33 33 8,60374,45398,33
0001d0c0: 34 31 31 2c 35 32 37 34 2c 32 32 34 2c 35 33 35 411,5274,224,535
0001d0d0: 35 32 2c 36 31 31 37 31 2c 33 33 30 31 30 2c 36 52,61171,33010,6
0001d0e0: 35 34 32 2c 36 34 37 34 33 2c 32 32 32 33 39 2c 542,64743,22239,
0001d0f0: 35 35 37 37 32 2c 39 32 32 32 5d 29 2c 64 3d 74 55772,9222]),d=t
0001d100: 28 5b 35 34 35 35 34 2c 33 36 36 34 35 2c 31 31 ([54554,36645,11
0001d110: 36 31 36 2c 35 31 35 34 32 2c 34 32 39 33 30 2c 616,51542,42930,
0001d120: 33 38 31 38 31 2c 35 31 30 34 30 2c 32 36 39 32 38181,51040,2692
0001d130: 34 2c 35 36 34 31 32 2c 36 34 39 38 32 2c 35 37 4,56412,64982,57
0001d140: 39 30 35 2c 34 39 33 31 36 2c 32 31 35 30 32 2c 905,49316,21502,
0001d150: 35 32 35 39 30 2c 31 34 30 33 35 2c 38 35 35 33 52590,14035,8553
0001d160: 5d 29 2c 70 3d 74 28 5b 32 36 32 30 30 2c 32 36 ]),p=t([26200,26
0001d170: 32 31 34 2c 32 36 32 31 34 2c 32 36 32 31 34 2c 214,26214,26214,
0001d180: 32 36 32 31 34 2c 32 36 32 31 34 2c 32 36 32 31 26214,26214,2621
0001d190: 34 2c 32 36 32 31 34 2c 32 36 32 31 34 2c 32 36 4,26214,26214,26
0001d1a0: 32 31 34 2c 32 36 32 31 34 2c 32 36 32 31 34 2c 214,26214,26214,
0001d1b0: 32 36 32 31 34 2c 32 36 32 31 34 2c 32 36 32 31 26214,26214,2621
0001d1c0: 34 2c 32 36 32 31 34 5d 29 2c 66 3d 74 28 5b 34 4,26214]),f=t([4
0001d1d0: 31 31 33 36 2c 31 38 39 35 38 2c 36 39 35 31 2c 1136,18958,6951,
0001d1e0: 35 30 34 31 34 2c 35 38 34 38 38 2c 34 34 33 33 50414,58488,4433
0001d1f0: 35 2c 36 31 35 30 2c 31 32 30 39 39 2c 35 35 32 5,6150,12099,552
0001d200: 30 37 2c 31 35 38 36 37 2c 31 35 33 2c 31 31 30 07,15867,153,110
0001d210: 38 35 2c 35 37 30 39 39 2c 32 30 34 31 37 2c 39 85,57099,20417,9
0001d220: 33 34 34 2c 31 31 31 33 39 5d 29 3b 66 75 6e 63 344,11139]);func
0001d230: 74 69 6f 6e 20 6d 28 65 2c 74 2c 6e 2c 72 29 7b tion m(e,t,n,r){
0001d240: 65 5b 74 5d 3d 6e 3e 3e 32 34 26 32 35 35 2c 65 e[t]=n>>24&255,e
0001d250: 5b 74 2b 31 5d 3d 6e 3e 3e 31 36 26 32 35 35 2c [t+1]=n>>16&255,
0001d260: 65 5b 74 2b 32 5d 3d 6e 3e 3e 38 26 32 35 35 2c e[t+2]=n>>8&255,
0001d270: 65 5b 74 2b 33 5d 3d 32 35 35 26 6e 2c 65 5b 74 e[t+3]=255&n,e[t
0001d280: 2b 34 5d 3d 72 3e 3e 32 34 26 32 35 35 2c 65 5b +4]=r>>24&255,e[
0001d290: 74 2b 35 5d 3d 72 3e 3e 31 36 26 32 35 35 2c 65 t+5]=r>>16&255,e
0001d2a0: 5b 74 2b 36 5d 3d 72 3e 3e 38 26 32 35 35 2c 65 [t+6]=r>>8&255,e
0001d2b0: 5b 74 2b 37 5d 3d 32 35 35 26 72 7d 66 75 6e 63 [t+7]=255&r}func
0001d2c0: 74 69 6f 6e 20 68 28 65 2c 74 2c 6e 2c 72 2c 6f tion h(e,t,n,r,o
0001d2d0: 29 7b 76 61 72 20 69 2c 61 3d 30 3b 66 6f 72 28 ){var i,a=0;for(
0001d2e0: 69 3d 30 3b 69 3c 6f 3b 69 2b 2b 29 61 7c 3d 65 i=0;i<o;i++)a|=e
0001d2f0: 5b 74 2b 69 5d 5e 6e 5b 72 2b 69 5d 3b 72 65 74 [t+i]^n[r+i];ret
0001d300: 75 72 6e 28 31 26 61 2d 31 3e 3e 3e 38 29 2d 31 urn(1&a-1>>>8)-1
0001d310: 7d 66 75 6e 63 74 69 6f 6e 20 67 28 65 2c 74 2c }function g(e,t,
0001d320: 6e 2c 72 29 7b 72 65 74 75 72 6e 20 68 28 65 2c n,r){return h(e,
0001d330: 74 2c 6e 2c 72 2c 31 36 29 7d 66 75 6e 63 74 69 t,n,r,16)}functi
0001d340: 6f 6e 20 62 28 65 2c 74 2c 6e 2c 72 29 7b 72 65 on b(e,t,n,r){re
0001d350: 74 75 72 6e 20 68 28 65 2c 74 2c 6e 2c 72 2c 33 turn h(e,t,n,r,3
0001d360: 32 29 7d 66 75 6e 63 74 69 6f 6e 20 76 28 65 2c 2)}function v(e,
0001d370: 74 2c 6e 2c 72 29 7b 21 66 75 6e 63 74 69 6f 6e t,n,r){!function
0001d380: 28 65 2c 74 2c 6e 2c 72 29 7b 66 6f 72 28 76 61 (e,t,n,r){for(va
0001d390: 72 20 6f 2c 69 3d 32 35 35 26 72 5b 30 5d 7c 28 r o,i=255&r[0]|(
0001d3a0: 32 35 35 26 72 5b 31 5d 29 3c 3c 38 7c 28 32 35 255&r[1])<<8|(25
0001d3b0: 35 26 72 5b 32 5d 29 3c 3c 31 36 7c 28 32 35 35 5&r[2])<<16|(255
0001d3c0: 26 72 5b 33 5d 29 3c 3c 32 34 2c 61 3d 32 35 35 &r[3])<<24,a=255
0001d3d0: 26 6e 5b 30 5d 7c 28 32 35 35 26 6e 5b 31 5d 29 &n[0]|(255&n[1])
0001d3e0: 3c 3c 38 7c 28 32 35 35 26 6e 5b 32 5d 29 3c 3c <<8|(255&n[2])<<
0001d3f0: 31 36 7c 28 32 35 35 26 6e 5b 33 5d 29 3c 3c 32 16|(255&n[3])<<2
0001d400: 34 2c 73 3d 32 35 35 26 6e 5b 34 5d 7c 28 32 35 4,s=255&n[4]|(25
0001d410: 35 26 6e 5b 35 5d 29 3c 3c 38 7c 28 32 35 35 26 5&n[5])<<8|(255&
0001d420: 6e 5b 36 5d 29 3c 3c 31 36 7c 28 32 35 35 26 6e n[6])<<16|(255&n
0001d430: 5b 37 5d 29 3c 3c 32 34 2c 6c 3d 32 35 35 26 6e [7])<<24,l=255&n
0001d440: 5b 38 5d 7c 28 32 35 35 26 6e 5b 39 5d 29 3c 3c [8]|(255&n[9])<<
0001d450: 38 7c 28 32 35 35 26 6e 5b 31 30 5d 29 3c 3c 31 8|(255&n[10])<<1
0001d460: 36 7c 28 32 35 35 26 6e 5b 31 31 5d 29 3c 3c 32 6|(255&n[11])<<2
0001d470: 34 2c 63 3d 32 35 35 26 6e 5b 31 32 5d 7c 28 32 4,c=255&n[12]|(2
0001d480: 35 35 26 6e 5b 31 33 5d 29 3c 3c 38 7c 28 32 35 55&n[13])<<8|(25
0001d490: 35 26 6e 5b 31 34 5d 29 3c 3c 31 36 7c 28 32 35 5&n[14])<<16|(25
0001d4a0: 35 26 6e 5b 31 35 5d 29 3c 3c 32 34 2c 75 3d 32 5&n[15])<<24,u=2
0001d4b0: 35 35 26 72 5b 34 5d 7c 28 32 35 35 26 72 5b 35 55&r[4]|(255&r[5
0001d4c0: 5d 29 3c 3c 38 7c 28 32 35 35 26 72 5b 36 5d 29 ])<<8|(255&r[6])
0001d4d0: 3c 3c 31 36 7c 28 32 35 35 26 72 5b 37 5d 29 3c <<16|(255&r[7])<
0001d4e0: 3c 32 34 2c 64 3d 32 35 35 26 74 5b 30 5d 7c 28 <24,d=255&t[0]|(
0001d4f0: 32 35 35 26 74 5b 31 5d 29 3c 3c 38 7c 28 32 35 255&t[1])<<8|(25
0001d500: 35 26 74 5b 32 5d 29 3c 3c 31 36 7c 28 32 35 35 5&t[2])<<16|(255
0001d510: 26 74 5b 33 5d 29 3c 3c 32 34 2c 70 3d 32 35 35 &t[3])<<24,p=255
0001d520: 26 74 5b 34 5d 7c 28 32 35 35 26 74 5b 35 5d 29 &t[4]|(255&t[5])
0001d530: 3c 3c 38 7c 28 32 35 35 26 74 5b 36 5d 29 3c 3c <<8|(255&t[6])<<
0001d540: 31 36 7c 28 32 35 35 26 74 5b 37 5d 29 3c 3c 32 16|(255&t[7])<<2
0001d550: 34 2c 66 3d 32 35 35 26 74 5b 38 5d 7c 28 32 35 4,f=255&t[8]|(25
0001d560: 35 26 74 5b 39 5d 29 3c 3c 38 7c 28 32 35 35 26 5&t[9])<<8|(255&
0001d570: 74 5b 31 30 5d 29 3c 3c 31 36 7c 28 32 35 35 26 t[10])<<16|(255&
0001d580: 74 5b 31 31 5d 29 3c 3c 32 34 2c 6d 3d 32 35 35 t[11])<<24,m=255
0001d590: 26 74 5b 31 32 5d 7c 28 32 35 35 26 74 5b 31 33 &t[12]|(255&t[13
0001d5a0: 5d 29 3c 3c 38 7c 28 32 35 35 26 74 5b 31 34 5d ])<<8|(255&t[14]
0001d5b0: 29 3c 3c 31 36 7c 28 32 35 35 26 74 5b 31 35 5d )<<16|(255&t[15]
0001d5c0: 29 3c 3c 32 34 2c 68 3d 32 35 35 26 72 5b 38 5d )<<24,h=255&r[8]
0001d5d0: 7c 28 32 35 35 26 72 5b 39 5d 29 3c 3c 38 7c 28 |(255&r[9])<<8|(
0001d5e0: 32 35 35 26 72 5b 31 30 5d 29 3c 3c 31 36 7c 28 255&r[10])<<16|(
0001d5f0: 32 35 35 26 72 5b 31 31 5d 29 3c 3c 32 34 2c 67 255&r[11])<<24,g
0001d600: 3d 32 35 35 26 6e 5b 31 36 5d 7c 28 32 35 35 26 =255&n[16]|(255&
0001d610: 6e 5b 31 37 5d 29 3c 3c 38 7c 28 32 35 35 26 6e n[17])<<8|(255&n
0001d620: 5b 31 38 5d 29 3c 3c 31 36 7c 28 32 35 35 26 6e [18])<<16|(255&n
0001d630: 5b 31 39 5d 29 3c 3c 32 34 2c 62 3d 32 35 35 26 [19])<<24,b=255&
0001d640: 6e 5b 32 30 5d 7c 28 32 35 35 26 6e 5b 32 31 5d n[20]|(255&n[21]
0001d650: 29 3c 3c 38 7c 28 32 35 35 26 6e 5b 32 32 5d 29 )<<8|(255&n[22])
0001d660: 3c 3c 31 36 7c 28 32 35 35 26 6e 5b 32 33 5d 29 <<16|(255&n[23])
0001d670: 3c 3c 32 34 2c 76 3d 32 35 35 26 6e 5b 32 34 5d <<24,v=255&n[24]
0001d680: 7c 28 32 35 35 26 6e 5b 32 35 5d 29 3c 3c 38 7c |(255&n[25])<<8|
0001d690: 28 32 35 35 26 6e 5b 32 36 5d 29 3c 3c 31 36 7c (255&n[26])<<16|
0001d6a0: 28 32 35 35 26 6e 5b 32 37 5d 29 3c 3c 32 34 2c (255&n[27])<<24,
0001d6b0: 79 3d 32 35 35 26 6e 5b 32 38 5d 7c 28 32 35 35 y=255&n[28]|(255
0001d6c0: 26 6e 5b 32 39 5d 29 3c 3c 38 7c 28 32 35 35 26 &n[29])<<8|(255&
0001d6d0: 6e 5b 33 30 5d 29 3c 3c 31 36 7c 28 32 35 35 26 n[30])<<16|(255&
0001d6e0: 6e 5b 33 31 5d 29 3c 3c 32 34 2c 45 3d 32 35 35 n[31])<<24,E=255
0001d6f0: 26 72 5b 31 32 5d 7c 28 32 35 35 26 72 5b 31 33 &r[12]|(255&r[13
0001d700: 5d 29 3c 3c 38 7c 28 32 35 35 26 72 5b 31 34 5d ])<<8|(255&r[14]
0001d710: 29 3c 3c 31 36 7c 28 32 35 35 26 72 5b 31 35 5d )<<16|(255&r[15]
0001d720: 29 3c 3c 32 34 2c 5f 3d 69 2c 53 3d 61 2c 77 3d )<<24,_=i,S=a,w=
0001d730: 73 2c 54 3d 6c 2c 78 3d 63 2c 41 3d 75 2c 43 3d s,T=l,x=c,A=u,C=
0001d740: 64 2c 52 3d 70 2c 6b 3d 66 2c 4f 3d 6d 2c 4e 3d d,R=p,k=f,O=m,N=
0001d750: 68 2c 49 3d 67 2c 4d 3d 62 2c 50 3d 76 2c 44 3d h,I=g,M=b,P=v,D=
0001d760: 79 2c 4c 3d 45 2c 46 3d 30 3b 46 3c 32 30 3b 46 y,L=E,F=0;F<20;F
0001d770: 2b 3d 32 29 5f 5e 3d 28 6f 3d 28 4d 5e 3d 28 6f +=2)_^=(o=(M^=(o
0001d780: 3d 28 6b 5e 3d 28 6f 3d 28 78 5e 3d 28 6f 3d 5f =(k^=(o=(x^=(o=_
0001d790: 2b 4d 7c 30 29 3c 3c 37 7c 6f 3e 3e 3e 32 35 29 +M|0)<<7|o>>>25)
0001d7a0: 2b 5f 7c 30 29 3c 3c 39 7c 6f 3e 3e 3e 32 33 29 +_|0)<<9|o>>>23)
0001d7b0: 2b 78 7c 30 29 3c 3c 31 33 7c 6f 3e 3e 3e 31 39 +x|0)<<13|o>>>19
0001d7c0: 29 2b 6b 7c 30 29 3c 3c 31 38 7c 6f 3e 3e 3e 31 )+k|0)<<18|o>>>1
0001d7d0: 34 2c 41 5e 3d 28 6f 3d 28 53 5e 3d 28 6f 3d 28 4,A^=(o=(S^=(o=(
0001d7e0: 50 5e 3d 28 6f 3d 28 4f 5e 3d 28 6f 3d 41 2b 53 P^=(o=(O^=(o=A+S
0001d7f0: 7c 30 29 3c 3c 37 7c 6f 3e 3e 3e 32 35 29 2b 41 |0)<<7|o>>>25)+A
0001d800: 7c 30 29 3c 3c 39 7c 6f 3e 3e 3e 32 33 29 2b 4f |0)<<9|o>>>23)+O
0001d810: 7c 30 29 3c 3c 31 33 7c 6f 3e 3e 3e 31 39 29 2b |0)<<13|o>>>19)+
0001d820: 50 7c 30 29 3c 3c 31 38 7c 6f 3e 3e 3e 31 34 2c P|0)<<18|o>>>14,
0001d830: 4e 5e 3d 28 6f 3d 28 43 5e 3d 28 6f 3d 28 77 5e N^=(o=(C^=(o=(w^
0001d840: 3d 28 6f 3d 28 44 5e 3d 28 6f 3d 4e 2b 43 7c 30 =(o=(D^=(o=N+C|0
0001d850: 29 3c 3c 37 7c 6f 3e 3e 3e 32 35 29 2b 4e 7c 30 )<<7|o>>>25)+N|0
0001d860: 29 3c 3c 39 7c 6f 3e 3e 3e 32 33 29 2b 44 7c 30 )<<9|o>>>23)+D|0
0001d870: 29 3c 3c 31 33 7c 6f 3e 3e 3e 31 39 29 2b 77 7c )<<13|o>>>19)+w|
0001d880: 30 29 3c 3c 31 38 7c 6f 3e 3e 3e 31 34 2c 4c 5e 0)<<18|o>>>14,L^
0001d890: 3d 28 6f 3d 28 49 5e 3d 28 6f 3d 28 52 5e 3d 28 =(o=(I^=(o=(R^=(
0001d8a0: 6f 3d 28 54 5e 3d 28 6f 3d 4c 2b 49 7c 30 29 3c o=(T^=(o=L+I|0)<
0001d8b0: 3c 37 7c 6f 3e 3e 3e 32 35 29 2b 4c 7c 30 29 3c <7|o>>>25)+L|0)<
0001d8c0: 3c 39 7c 6f 3e 3e 3e 32 33 29 2b 54 7c 30 29 3c <9|o>>>23)+T|0)<
0001d8d0: 3c 31 33 7c 6f 3e 3e 3e 31 39 29 2b 52 7c 30 29 <13|o>>>19)+R|0)
0001d8e0: 3c 3c 31 38 7c 6f 3e 3e 3e 31 34 2c 5f 5e 3d 28 <<18|o>>>14,_^=(
0001d8f0: 6f 3d 28 54 5e 3d 28 6f 3d 28 77 5e 3d 28 6f 3d o=(T^=(o=(w^=(o=
0001d900: 28 53 5e 3d 28 6f 3d 5f 2b 54 7c 30 29 3c 3c 37 (S^=(o=_+T|0)<<7
0001d910: 7c 6f 3e 3e 3e 32 35 29 2b 5f 7c 30 29 3c 3c 39 |o>>>25)+_|0)<<9
0001d920: 7c 6f 3e 3e 3e 32 33 29 2b 53 7c 30 29 3c 3c 31 |o>>>23)+S|0)<<1
0001d930: 33 7c 6f 3e 3e 3e 31 39 29 2b 77 7c 30 29 3c 3c 3|o>>>19)+w|0)<<
0001d940: 31 38 7c 6f 3e 3e 3e 31 34 2c 41 5e 3d 28 6f 3d 18|o>>>14,A^=(o=
0001d950: 28 78 5e 3d 28 6f 3d 28 52 5e 3d 28 6f 3d 28 43 (x^=(o=(R^=(o=(C
0001d960: 5e 3d 28 6f 3d 41 2b 78 7c 30 29 3c 3c 37 7c 6f ^=(o=A+x|0)<<7|o
0001d970: 3e 3e 3e 32 35 29 2b 41 7c 30 29 3c 3c 39 7c 6f >>>25)+A|0)<<9|o
0001d980: 3e 3e 3e 32 33 29 2b 43 7c 30 29 3c 3c 31 33 7c >>>23)+C|0)<<13|
0001d990: 6f 3e 3e 3e 31 39 29 2b 52 7c 30 29 3c 3c 31 38 o>>>19)+R|0)<<18
0001d9a0: 7c 6f 3e 3e 3e 31 34 2c 4e 5e 3d 28 6f 3d 28 4f |o>>>14,N^=(o=(O
0001d9b0: 5e 3d 28 6f 3d 28 6b 5e 3d 28 6f 3d 28 49 5e 3d ^=(o=(k^=(o=(I^=
0001d9c0: 28 6f 3d 4e 2b 4f 7c 30 29 3c 3c 37 7c 6f 3e 3e (o=N+O|0)<<7|o>>
0001d9d0: 3e 32 35 29 2b 4e 7c 30 29 3c 3c 39 7c 6f 3e 3e >25)+N|0)<<9|o>>
0001d9e0: 3e 32 33 29 2b 49 7c 30 29 3c 3c 31 33 7c 6f 3e >23)+I|0)<<13|o>
0001d9f0: 3e 3e 31 39 29 2b 6b 7c 30 29 3c 3c 31 38 7c 6f >>19)+k|0)<<18|o
0001da00: 3e 3e 3e 31 34 2c 4c 5e 3d 28 6f 3d 28 44 5e 3d >>>14,L^=(o=(D^=
0001da10: 28 6f 3d 28 50 5e 3d 28 6f 3d 28 4d 5e 3d 28 6f (o=(P^=(o=(M^=(o
0001da20: 3d 4c 2b 44 7c 30 29 3c 3c 37 7c 6f 3e 3e 3e 32 =L+D|0)<<7|o>>>2
0001da30: 35 29 2b 4c 7c 30 29 3c 3c 39 7c 6f 3e 3e 3e 32 5)+L|0)<<9|o>>>2
0001da40: 33 29 2b 4d 7c 30 29 3c 3c 31 33 7c 6f 3e 3e 3e 3)+M|0)<<13|o>>>
0001da50: 31 39 29 2b 50 7c 30 29 3c 3c 31 38 7c 6f 3e 3e 19)+P|0)<<18|o>>
0001da60: 3e 31 34 3b 5f 3d 5f 2b 69 7c 30 2c 53 3d 53 2b >14;_=_+i|0,S=S+
0001da70: 61 7c 30 2c 77 3d 77 2b 73 7c 30 2c 54 3d 54 2b a|0,w=w+s|0,T=T+
0001da80: 6c 7c 30 2c 78 3d 78 2b 63 7c 30 2c 41 3d 41 2b l|0,x=x+c|0,A=A+
0001da90: 75 7c 30 2c 43 3d 43 2b 64 7c 30 2c 52 3d 52 2b u|0,C=C+d|0,R=R+
0001daa0: 70 7c 30 2c 6b 3d 6b 2b 66 7c 30 2c 4f 3d 4f 2b p|0,k=k+f|0,O=O+
0001dab0: 6d 7c 30 2c 4e 3d 4e 2b 68 7c 30 2c 49 3d 49 2b m|0,N=N+h|0,I=I+
0001dac0: 67 7c 30 2c 4d 3d 4d 2b 62 7c 30 2c 50 3d 50 2b g|0,M=M+b|0,P=P+
0001dad0: 76 7c 30 2c 44 3d 44 2b 79 7c 30 2c 4c 3d 4c 2b v|0,D=D+y|0,L=L+
0001dae0: 45 7c 30 2c 65 5b 30 5d 3d 5f 3e 3e 3e 30 26 32 E|0,e[0]=_>>>0&2
0001daf0: 35 35 2c 65 5b 31 5d 3d 5f 3e 3e 3e 38 26 32 35 55,e[1]=_>>>8&25
0001db00: 35 2c 65 5b 32 5d 3d 5f 3e 3e 3e 31 36 26 32 35 5,e[2]=_>>>16&25
0001db10: 35 2c 65 5b 33 5d 3d 5f 3e 3e 3e 32 34 26 32 35 5,e[3]=_>>>24&25
0001db20: 35 2c 65 5b 34 5d 3d 53 3e 3e 3e 30 26 32 35 35 5,e[4]=S>>>0&255
0001db30: 2c 65 5b 35 5d 3d 53 3e 3e 3e 38 26 32 35 35 2c ,e[5]=S>>>8&255,
0001db40: 65 5b 36 5d 3d 53 3e 3e 3e 31 36 26 32 35 35 2c e[6]=S>>>16&255,
0001db50: 65 5b 37 5d 3d 53 3e 3e 3e 32 34 26 32 35 35 2c e[7]=S>>>24&255,
0001db60: 65 5b 38 5d 3d 77 3e 3e 3e 30 26 32 35 35 2c 65 e[8]=w>>>0&255,e
0001db70: 5b 39 5d 3d 77 3e 3e 3e 38 26 32 35 35 2c 65 5b [9]=w>>>8&255,e[
0001db80: 31 30 5d 3d 77 3e 3e 3e 31 36 26 32 35 35 2c 65 10]=w>>>16&255,e
0001db90: 5b 31 31 5d 3d 77 3e 3e 3e 32 34 26 32 35 35 2c [11]=w>>>24&255,
0001dba0: 65 5b 31 32 5d 3d 54 3e 3e 3e 30 26 32 35 35 2c e[12]=T>>>0&255,
0001dbb0: 65 5b 31 33 5d 3d 54 3e 3e 3e 38 26 32 35 35 2c e[13]=T>>>8&255,
0001dbc0: 65 5b 31 34 5d 3d 54 3e 3e 3e 31 36 26 32 35 35 e[14]=T>>>16&255
0001dbd0: 2c 65 5b 31 35 5d 3d 54 3e 3e 3e 32 34 26 32 35 ,e[15]=T>>>24&25
0001dbe0: 35 2c 65 5b 31 36 5d 3d 78 3e 3e 3e 30 26 32 35 5,e[16]=x>>>0&25
0001dbf0: 35 2c 65 5b 31 37 5d 3d 78 3e 3e 3e 38 26 32 35 5,e[17]=x>>>8&25
0001dc00: 35 2c 65 5b 31 38 5d 3d 78 3e 3e 3e 31 36 26 32 5,e[18]=x>>>16&2
0001dc10: 35 35 2c 65 5b 31 39 5d 3d 78 3e 3e 3e 32 34 26 55,e[19]=x>>>24&
0001dc20: 32 35 35 2c 65 5b 32 30 5d 3d 41 3e 3e 3e 30 26 255,e[20]=A>>>0&
0001dc30: 32 35 35 2c 65 5b 32 31 5d 3d 41 3e 3e 3e 38 26 255,e[21]=A>>>8&
0001dc40: 32 35 35 2c 65 5b 32 32 5d 3d 41 3e 3e 3e 31 36 255,e[22]=A>>>16
0001dc50: 26 32 35 35 2c 65 5b 32 33 5d 3d 41 3e 3e 3e 32 &255,e[23]=A>>>2
0001dc60: 34 26 32 35 35 2c 65 5b 32 34 5d 3d 43 3e 3e 3e 4&255,e[24]=C>>>
0001dc70: 30 26 32 35 35 2c 65 5b 32 35 5d 3d 43 3e 3e 3e 0&255,e[25]=C>>>
0001dc80: 38 26 32 35 35 2c 65 5b 32 36 5d 3d 43 3e 3e 3e 8&255,e[26]=C>>>
0001dc90: 31 36 26 32 35 35 2c 65 5b 32 37 5d 3d 43 3e 3e 16&255,e[27]=C>>
0001dca0: 3e 32 34 26 32 35 35 2c 65 5b 32 38 5d 3d 52 3e >24&255,e[28]=R>
0001dcb0: 3e 3e 30 26 32 35 35 2c 65 5b 32 39 5d 3d 52 3e >>0&255,e[29]=R>
0001dcc0: 3e 3e 38 26 32 35 35 2c 65 5b 33 30 5d 3d 52 3e >>8&255,e[30]=R>
0001dcd0: 3e 3e 31 36 26 32 35 35 2c 65 5b 33 31 5d 3d 52 >>16&255,e[31]=R
0001dce0: 3e 3e 3e 32 34 26 32 35 35 2c 65 5b 33 32 5d 3d >>>24&255,e[32]=
0001dcf0: 6b 3e 3e 3e 30 26 32 35 35 2c 65 5b 33 33 5d 3d k>>>0&255,e[33]=
0001dd00: 6b 3e 3e 3e 38 26 32 35 35 2c 65 5b 33 34 5d 3d k>>>8&255,e[34]=
0001dd10: 6b 3e 3e 3e 31 36 26 32 35 35 2c 65 5b 33 35 5d k>>>16&255,e[35]
0001dd20: 3d 6b 3e 3e 3e 32 34 26 32 35 35 2c 65 5b 33 36 =k>>>24&255,e[36
0001dd30: 5d 3d 4f 3e 3e 3e 30 26 32 35 35 2c 65 5b 33 37 ]=O>>>0&255,e[37
0001dd40: 5d 3d 4f 3e 3e 3e 38 26 32 35 35 2c 65 5b 33 38 ]=O>>>8&255,e[38
0001dd50: 5d 3d 4f 3e 3e 3e 31 36 26 32 35 35 2c 65 5b 33 ]=O>>>16&255,e[3
0001dd60: 39 5d 3d 4f 3e 3e 3e 32 34 26 32 35 35 2c 65 5b 9]=O>>>24&255,e[
0001dd70: 34 30 5d 3d 4e 3e 3e 3e 30 26 32 35 35 2c 65 5b 40]=N>>>0&255,e[
0001dd80: 34 31 5d 3d 4e 3e 3e 3e 38 26 32 35 35 2c 65 5b 41]=N>>>8&255,e[
0001dd90: 34 32 5d 3d 4e 3e 3e 3e 31 36 26 32 35 35 2c 65 42]=N>>>16&255,e
0001dda0: 5b 34 33 5d 3d 4e 3e 3e 3e 32 34 26 32 35 35 2c [43]=N>>>24&255,
0001ddb0: 65 5b 34 34 5d 3d 49 3e 3e 3e 30 26 32 35 35 2c e[44]=I>>>0&255,
0001ddc0: 65 5b 34 35 5d 3d 49 3e 3e 3e 38 26 32 35 35 2c e[45]=I>>>8&255,
0001ddd0: 65 5b 34 36 5d 3d 49 3e 3e 3e 31 36 26 32 35 35 e[46]=I>>>16&255
0001dde0: 2c 65 5b 34 37 5d 3d 49 3e 3e 3e 32 34 26 32 35 ,e[47]=I>>>24&25
0001ddf0: 35 2c 65 5b 34 38 5d 3d 4d 3e 3e 3e 30 26 32 35 5,e[48]=M>>>0&25
0001de00: 35 2c 65 5b 34 39 5d 3d 4d 3e 3e 3e 38 26 32 35 5,e[49]=M>>>8&25
0001de10: 35 2c 65 5b 35 30 5d 3d 4d 3e 3e 3e 31 36 26 32 5,e[50]=M>>>16&2
0001de20: 35 35 2c 65 5b 35 31 5d 3d 4d 3e 3e 3e 32 34 26 55,e[51]=M>>>24&
0001de30: 32 35 35 2c 65 5b 35 32 5d 3d 50 3e 3e 3e 30 26 255,e[52]=P>>>0&
0001de40: 32 35 35 2c 65 5b 35 33 5d 3d 50 3e 3e 3e 38 26 255,e[53]=P>>>8&
0001de50: 32 35 35 2c 65 5b 35 34 5d 3d 50 3e 3e 3e 31 36 255,e[54]=P>>>16
0001de60: 26 32 35 35 2c 65 5b 35 35 5d 3d 50 3e 3e 3e 32 &255,e[55]=P>>>2
0001de70: 34 26 32 35 35 2c 65 5b 35 36 5d 3d 44 3e 3e 3e 4&255,e[56]=D>>>
0001de80: 30 26 32 35 35 2c 65 5b 35 37 5d 3d 44 3e 3e 3e 0&255,e[57]=D>>>
0001de90: 38 26 32 35 35 2c 65 5b 35 38 5d 3d 44 3e 3e 3e 8&255,e[58]=D>>>
0001dea0: 31 36 26 32 35 35 2c 65 5b 35 39 5d 3d 44 3e 3e 16&255,e[59]=D>>
0001deb0: 3e 32 34 26 32 35 35 2c 65 5b 36 30 5d 3d 4c 3e >24&255,e[60]=L>
0001dec0: 3e 3e 30 26 32 35 35 2c 65 5b 36 31 5d 3d 4c 3e >>0&255,e[61]=L>
0001ded0: 3e 3e 38 26 32 35 35 2c 65 5b 36 32 5d 3d 4c 3e >>8&255,e[62]=L>
0001dee0: 3e 3e 31 36 26 32 35 35 2c 65 5b 36 33 5d 3d 4c >>16&255,e[63]=L
0001def0: 3e 3e 3e 32 34 26 32 35 35 7d 28 65 2c 74 2c 6e >>>24&255}(e,t,n
0001df00: 2c 72 29 7d 66 75 6e 63 74 69 6f 6e 20 79 28 65 ,r)}function y(e
0001df10: 2c 74 2c 6e 2c 72 29 7b 21 66 75 6e 63 74 69 6f ,t,n,r){!functio
0001df20: 6e 28 65 2c 74 2c 6e 2c 72 29 7b 66 6f 72 28 76 n(e,t,n,r){for(v
0001df30: 61 72 20 6f 2c 69 3d 32 35 35 26 72 5b 30 5d 7c ar o,i=255&r[0]|
0001df40: 28 32 35 35 26 72 5b 31 5d 29 3c 3c 38 7c 28 32 (255&r[1])<<8|(2
0001df50: 35 35 26 72 5b 32 5d 29 3c 3c 31 36 7c 28 32 35 55&r[2])<<16|(25
0001df60: 35 26 72 5b 33 5d 29 3c 3c 32 34 2c 61 3d 32 35 5&r[3])<<24,a=25
0001df70: 35 26 6e 5b 30 5d 7c 28 32 35 35 26 6e 5b 31 5d 5&n[0]|(255&n[1]
0001df80: 29 3c 3c 38 7c 28 32 35 35 26 6e 5b 32 5d 29 3c )<<8|(255&n[2])<
0001df90: 3c 31 36 7c 28 32 35 35 26 6e 5b 33 5d 29 3c 3c <16|(255&n[3])<<
0001dfa0: 32 34 2c 73 3d 32 35 35 26 6e 5b 34 5d 7c 28 32 24,s=255&n[4]|(2
0001dfb0: 35 35 26 6e 5b 35 5d 29 3c 3c 38 7c 28 32 35 35 55&n[5])<<8|(255
0001dfc0: 26 6e 5b 36 5d 29 3c 3c 31 36 7c 28 32 35 35 26 &n[6])<<16|(255&
0001dfd0: 6e 5b 37 5d 29 3c 3c 32 34 2c 6c 3d 32 35 35 26 n[7])<<24,l=255&
0001dfe0: 6e 5b 38 5d 7c 28 32 35 35 26 6e 5b 39 5d 29 3c n[8]|(255&n[9])<
0001dff0: 3c 38 7c 28 32 35 35 26 6e 5b 31 30 5d 29 3c 3c <8|(255&n[10])<<
0001e000: 31 36 7c 28 32 35 35 26 6e 5b 31 31 5d 29 3c 3c 16|(255&n[11])<<
0001e010: 32 34 2c 63 3d 32 35 35 26 6e 5b 31 32 5d 7c 28 24,c=255&n[12]|(
0001e020: 32 35 35 26 6e 5b 31 33 5d 29 3c 3c 38 7c 28 32 255&n[13])<<8|(2
0001e030: 35 35 26 6e 5b 31 34 5d 29 3c 3c 31 36 7c 28 32 55&n[14])<<16|(2
0001e040: 35 35 26 6e 5b 31 35 5d 29 3c 3c 32 34 2c 75 3d 55&n[15])<<24,u=
0001e050: 32 35 35 26 72 5b 34 5d 7c 28 32 35 35 26 72 5b 255&r[4]|(255&r[
0001e060: 35 5d 29 3c 3c 38 7c 28 32 35 35 26 72 5b 36 5d 5])<<8|(255&r[6]
0001e070: 29 3c 3c 31 36 7c 28 32 35 35 26 72 5b 37 5d 29 )<<16|(255&r[7])
0001e080: 3c 3c 32 34 2c 64 3d 32 35 35 26 74 5b 30 5d 7c <<24,d=255&t[0]|
0001e090: 28 32 35 35 26 74 5b 31 5d 29 3c 3c 38 7c 28 32 (255&t[1])<<8|(2
0001e0a0: 35 35 26 74 5b 32 5d 29 3c 3c 31 36 7c 28 32 35 55&t[2])<<16|(25
0001e0b0: 35 26 74 5b 33 5d 29 3c 3c 32 34 2c 70 3d 32 35 5&t[3])<<24,p=25
0001e0c0: 35 26 74 5b 34 5d 7c 28 32 35 35 26 74 5b 35 5d 5&t[4]|(255&t[5]
0001e0d0: 29 3c 3c 38 7c 28 32 35 35 26 74 5b 36 5d 29 3c )<<8|(255&t[6])<
0001e0e0: 3c 31 36 7c 28 32 35 35 26 74 5b 37 5d 29 3c 3c <16|(255&t[7])<<
0001e0f0: 32 34 2c 66 3d 32 35 35 26 74 5b 38 5d 7c 28 32 24,f=255&t[8]|(2
0001e100: 35 35 26 74 5b 39 5d 29 3c 3c 38 7c 28 32 35 35 55&t[9])<<8|(255
0001e110: 26 74 5b 31 30 5d 29 3c 3c 31 36 7c 28 32 35 35 &t[10])<<16|(255
0001e120: 26 74 5b 31 31 5d 29 3c 3c 32 34 2c 6d 3d 32 35 &t[11])<<24,m=25
0001e130: 35 26 74 5b 31 32 5d 7c 28 32 35 35 26 74 5b 31 5&t[12]|(255&t[1
0001e140: 33 5d 29 3c 3c 38 7c 28 32 35 35 26 74 5b 31 34 3])<<8|(255&t[14
0001e150: 5d 29 3c 3c 31 36 7c 28 32 35 35 26 74 5b 31 35 ])<<16|(255&t[15
0001e160: 5d 29 3c 3c 32 34 2c 68 3d 32 35 35 26 72 5b 38 ])<<24,h=255&r[8
0001e170: 5d 7c 28 32 35 35 26 72 5b 39 5d 29 3c 3c 38 7c ]|(255&r[9])<<8|
0001e180: 28 32 35 35 26 72 5b 31 30 5d 29 3c 3c 31 36 7c (255&r[10])<<16|
0001e190: 28 32 35 35 26 72 5b 31 31 5d 29 3c 3c 32 34 2c (255&r[11])<<24,
0001e1a0: 67 3d 32 35 35 26 6e 5b 31 36 5d 7c 28 32 35 35 g=255&n[16]|(255
0001e1b0: 26 6e 5b 31 37 5d 29 3c 3c 38 7c 28 32 35 35 26 &n[17])<<8|(255&
0001e1c0: 6e 5b 31 38 5d 29 3c 3c 31 36 7c 28 32 35 35 26 n[18])<<16|(255&
0001e1d0: 6e 5b 31 39 5d 29 3c 3c 32 34 2c 62 3d 32 35 35 n[19])<<24,b=255
0001e1e0: 26 6e 5b 32 30 5d 7c 28 32 35 35 26 6e 5b 32 31 &n[20]|(255&n[21
0001e1f0: 5d 29 3c 3c 38 7c 28 32 35 35 26 6e 5b 32 32 5d ])<<8|(255&n[22]
0001e200: 29 3c 3c 31 36 7c 28 32 35 35 26 6e 5b 32 33 5d )<<16|(255&n[23]
0001e210: 29 3c 3c 32 34 2c 76 3d 32 35 35 26 6e 5b 32 34 )<<24,v=255&n[24
0001e220: 5d 7c 28 32 35 35 26 6e 5b 32 35 5d 29 3c 3c 38 ]|(255&n[25])<<8
0001e230: 7c 28 32 35 35 26 6e 5b 32 36 5d 29 3c 3c 31 36 |(255&n[26])<<16
0001e240: 7c 28 32 35 35 26 6e 5b 32 37 5d 29 3c 3c 32 34 |(255&n[27])<<24
0001e250: 2c 79 3d 32 35 35 26 6e 5b 32 38 5d 7c 28 32 35 ,y=255&n[28]|(25
0001e260: 35 26 6e 5b 32 39 5d 29 3c 3c 38 7c 28 32 35 35 5&n[29])<<8|(255
0001e270: 26 6e 5b 33 30 5d 29 3c 3c 31 36 7c 28 32 35 35 &n[30])<<16|(255
0001e280: 26 6e 5b 33 31 5d 29 3c 3c 32 34 2c 45 3d 32 35 &n[31])<<24,E=25
0001e290: 35 26 72 5b 31 32 5d 7c 28 32 35 35 26 72 5b 31 5&r[12]|(255&r[1
0001e2a0: 33 5d 29 3c 3c 38 7c 28 32 35 35 26 72 5b 31 34 3])<<8|(255&r[14
0001e2b0: 5d 29 3c 3c 31 36 7c 28 32 35 35 26 72 5b 31 35 ])<<16|(255&r[15
0001e2c0: 5d 29 3c 3c 32 34 2c 5f 3d 30 3b 5f 3c 32 30 3b ])<<24,_=0;_<20;
0001e2d0: 5f 2b 3d 32 29 69 5e 3d 28 6f 3d 28 62 5e 3d 28 _+=2)i^=(o=(b^=(
0001e2e0: 6f 3d 28 66 5e 3d 28 6f 3d 28 63 5e 3d 28 6f 3d o=(f^=(o=(c^=(o=
0001e2f0: 69 2b 62 7c 30 29 3c 3c 37 7c 6f 3e 3e 3e 32 35 i+b|0)<<7|o>>>25
0001e300: 29 2b 69 7c 30 29 3c 3c 39 7c 6f 3e 3e 3e 32 33 )+i|0)<<9|o>>>23
0001e310: 29 2b 63 7c 30 29 3c 3c 31 33 7c 6f 3e 3e 3e 31 )+c|0)<<13|o>>>1
0001e320: 39 29 2b 66 7c 30 29 3c 3c 31 38 7c 6f 3e 3e 3e 9)+f|0)<<18|o>>>
0001e330: 31 34 2c 75 5e 3d 28 6f 3d 28 61 5e 3d 28 6f 3d 14,u^=(o=(a^=(o=
0001e340: 28 76 5e 3d 28 6f 3d 28 6d 5e 3d 28 6f 3d 75 2b (v^=(o=(m^=(o=u+
0001e350: 61 7c 30 29 3c 3c 37 7c 6f 3e 3e 3e 32 35 29 2b a|0)<<7|o>>>25)+
0001e360: 75 7c 30 29 3c 3c 39 7c 6f 3e 3e 3e 32 33 29 2b u|0)<<9|o>>>23)+
0001e370: 6d 7c 30 29 3c 3c 31 33 7c 6f 3e 3e 3e 31 39 29 m|0)<<13|o>>>19)
0001e380: 2b 76 7c 30 29 3c 3c 31 38 7c 6f 3e 3e 3e 31 34 +v|0)<<18|o>>>14
0001e390: 2c 68 5e 3d 28 6f 3d 28 64 5e 3d 28 6f 3d 28 73 ,h^=(o=(d^=(o=(s
0001e3a0: 5e 3d 28 6f 3d 28 79 5e 3d 28 6f 3d 68 2b 64 7c ^=(o=(y^=(o=h+d|
0001e3b0: 30 29 3c 3c 37 7c 6f 3e 3e 3e 32 35 29 2b 68 7c 0)<<7|o>>>25)+h|
0001e3c0: 30 29 3c 3c 39 7c 6f 3e 3e 3e 32 33 29 2b 79 7c 0)<<9|o>>>23)+y|
0001e3d0: 30 29 3c 3c 31 33 7c 6f 3e 3e 3e 31 39 29 2b 73 0)<<13|o>>>19)+s
0001e3e0: 7c 30 29 3c 3c 31 38 7c 6f 3e 3e 3e 31 34 2c 45 |0)<<18|o>>>14,E
0001e3f0: 5e 3d 28 6f 3d 28 67 5e 3d 28 6f 3d 28 70 5e 3d ^=(o=(g^=(o=(p^=
0001e400: 28 6f 3d 28 6c 5e 3d 28 6f 3d 45 2b 67 7c 30 29 (o=(l^=(o=E+g|0)
0001e410: 3c 3c 37 7c 6f 3e 3e 3e 32 35 29 2b 45 7c 30 29 <<7|o>>>25)+E|0)
0001e420: 3c 3c 39 7c 6f 3e 3e 3e 32 33 29 2b 6c 7c 30 29 <<9|o>>>23)+l|0)
0001e430: 3c 3c 31 33 7c 6f 3e 3e 3e 31 39 29 2b 70 7c 30 <<13|o>>>19)+p|0
0001e440: 29 3c 3c 31 38 7c 6f 3e 3e 3e 31 34 2c 69 5e 3d )<<18|o>>>14,i^=
0001e450: 28 6f 3d 28 6c 5e 3d 28 6f 3d 28 73 5e 3d 28 6f (o=(l^=(o=(s^=(o
0001e460: 3d 28 61 5e 3d 28 6f 3d 69 2b 6c 7c 30 29 3c 3c =(a^=(o=i+l|0)<<
0001e470: 37 7c 6f 3e 3e 3e 32 35 29 2b 69 7c 30 29 3c 3c 7|o>>>25)+i|0)<<
0001e480: 39 7c 6f 3e 3e 3e 32 33 29 2b 61 7c 30 29 3c 3c 9|o>>>23)+a|0)<<
0001e490: 31 33 7c 6f 3e 3e 3e 31 39 29 2b 73 7c 30 29 3c 13|o>>>19)+s|0)<
0001e4a0: 3c 31 38 7c 6f 3e 3e 3e 31 34 2c 75 5e 3d 28 6f <18|o>>>14,u^=(o
0001e4b0: 3d 28 63 5e 3d 28 6f 3d 28 70 5e 3d 28 6f 3d 28 =(c^=(o=(p^=(o=(
0001e4c0: 64 5e 3d 28 6f 3d 75 2b 63 7c 30 29 3c 3c 37 7c d^=(o=u+c|0)<<7|
0001e4d0: 6f 3e 3e 3e 32 35 29 2b 75 7c 30 29 3c 3c 39 7c o>>>25)+u|0)<<9|
0001e4e0: 6f 3e 3e 3e 32 33 29 2b 64 7c 30 29 3c 3c 31 33 o>>>23)+d|0)<<13
0001e4f0: 7c 6f 3e 3e 3e 31 39 29 2b 70 7c 30 29 3c 3c 31 |o>>>19)+p|0)<<1
0001e500: 38 7c 6f 3e 3e 3e 31 34 2c 68 5e 3d 28 6f 3d 28 8|o>>>14,h^=(o=(
0001e510: 6d 5e 3d 28 6f 3d 28 66 5e 3d 28 6f 3d 28 67 5e m^=(o=(f^=(o=(g^
0001e520: 3d 28 6f 3d 68 2b 6d 7c 30 29 3c 3c 37 7c 6f 3e =(o=h+m|0)<<7|o>
0001e530: 3e 3e 32 35 29 2b 68 7c 30 29 3c 3c 39 7c 6f 3e >>25)+h|0)<<9|o>
0001e540: 3e 3e 32 33 29 2b 67 7c 30 29 3c 3c 31 33 7c 6f >>23)+g|0)<<13|o
0001e550: 3e 3e 3e 31 39 29 2b 66 7c 30 29 3c 3c 31 38 7c >>>19)+f|0)<<18|
0001e560: 6f 3e 3e 3e 31 34 2c 45 5e 3d 28 6f 3d 28 79 5e o>>>14,E^=(o=(y^
0001e570: 3d 28 6f 3d 28 76 5e 3d 28 6f 3d 28 62 5e 3d 28 =(o=(v^=(o=(b^=(
0001e580: 6f 3d 45 2b 79 7c 30 29 3c 3c 37 7c 6f 3e 3e 3e o=E+y|0)<<7|o>>>
0001e590: 32 35 29 2b 45 7c 30 29 3c 3c 39 7c 6f 3e 3e 3e 25)+E|0)<<9|o>>>
0001e5a0: 32 33 29 2b 62 7c 30 29 3c 3c 31 33 7c 6f 3e 3e 23)+b|0)<<13|o>>
0001e5b0: 3e 31 39 29 2b 76 7c 30 29 3c 3c 31 38 7c 6f 3e >19)+v|0)<<18|o>
0001e5c0: 3e 3e 31 34 3b 65 5b 30 5d 3d 69 3e 3e 3e 30 26 >>14;e[0]=i>>>0&
0001e5d0: 32 35 35 2c 65 5b 31 5d 3d 69 3e 3e 3e 38 26 32 255,e[1]=i>>>8&2
0001e5e0: 35 35 2c 65 5b 32 5d 3d 69 3e 3e 3e 31 36 26 32 55,e[2]=i>>>16&2
0001e5f0: 35 35 2c 65 5b 33 5d 3d 69 3e 3e 3e 32 34 26 32 55,e[3]=i>>>24&2
0001e600: 35 35 2c 65 5b 34 5d 3d 75 3e 3e 3e 30 26 32 35 55,e[4]=u>>>0&25
0001e610: 35 2c 65 5b 35 5d 3d 75 3e 3e 3e 38 26 32 35 35 5,e[5]=u>>>8&255
0001e620: 2c 65 5b 36 5d 3d 75 3e 3e 3e 31 36 26 32 35 35 ,e[6]=u>>>16&255
0001e630: 2c 65 5b 37 5d 3d 75 3e 3e 3e 32 34 26 32 35 35 ,e[7]=u>>>24&255
0001e640: 2c 65 5b 38 5d 3d 68 3e 3e 3e 30 26 32 35 35 2c ,e[8]=h>>>0&255,
0001e650: 65 5b 39 5d 3d 68 3e 3e 3e 38 26 32 35 35 2c 65 e[9]=h>>>8&255,e
0001e660: 5b 31 30 5d 3d 68 3e 3e 3e 31 36 26 32 35 35 2c [10]=h>>>16&255,
0001e670: 65 5b 31 31 5d 3d 68 3e 3e 3e 32 34 26 32 35 35 e[11]=h>>>24&255
0001e680: 2c 65 5b 31 32 5d 3d 45 3e 3e 3e 30 26 32 35 35 ,e[12]=E>>>0&255
0001e690: 2c 65 5b 31 33 5d 3d 45 3e 3e 3e 38 26 32 35 35 ,e[13]=E>>>8&255
0001e6a0: 2c 65 5b 31 34 5d 3d 45 3e 3e 3e 31 36 26 32 35 ,e[14]=E>>>16&25
0001e6b0: 35 2c 65 5b 31 35 5d 3d 45 3e 3e 3e 32 34 26 32 5,e[15]=E>>>24&2
0001e6c0: 35 35 2c 65 5b 31 36 5d 3d 64 3e 3e 3e 30 26 32 55,e[16]=d>>>0&2
0001e6d0: 35 35 2c 65 5b 31 37 5d 3d 64 3e 3e 3e 38 26 32 55,e[17]=d>>>8&2
0001e6e0: 35 35 2c 65 5b 31 38 5d 3d 64 3e 3e 3e 31 36 26 55,e[18]=d>>>16&
0001e6f0: 32 35 35 2c 65 5b 31 39 5d 3d 64 3e 3e 3e 32 34 255,e[19]=d>>>24
0001e700: 26 32 35 35 2c 65 5b 32 30 5d 3d 70 3e 3e 3e 30 &255,e[20]=p>>>0
0001e710: 26 32 35 35 2c 65 5b 32 31 5d 3d 70 3e 3e 3e 38 &255,e[21]=p>>>8
0001e720: 26 32 35 35 2c 65 5b 32 32 5d 3d 70 3e 3e 3e 31 &255,e[22]=p>>>1
0001e730: 36 26 32 35 35 2c 65 5b 32 33 5d 3d 70 3e 3e 3e 6&255,e[23]=p>>>
0001e740: 32 34 26 32 35 35 2c 65 5b 32 34 5d 3d 66 3e 3e 24&255,e[24]=f>>
0001e750: 3e 30 26 32 35 35 2c 65 5b 32 35 5d 3d 66 3e 3e >0&255,e[25]=f>>
0001e760: 3e 38 26 32 35 35 2c 65 5b 32 36 5d 3d 66 3e 3e >8&255,e[26]=f>>
0001e770: 3e 31 36 26 32 35 35 2c 65 5b 32 37 5d 3d 66 3e >16&255,e[27]=f>
0001e780: 3e 3e 32 34 26 32 35 35 2c 65 5b 32 38 5d 3d 6d >>24&255,e[28]=m
0001e790: 3e 3e 3e 30 26 32 35 35 2c 65 5b 32 39 5d 3d 6d >>>0&255,e[29]=m
0001e7a0: 3e 3e 3e 38 26 32 35 35 2c 65 5b 33 30 5d 3d 6d >>>8&255,e[30]=m
0001e7b0: 3e 3e 3e 31 36 26 32 35 35 2c 65 5b 33 31 5d 3d >>>16&255,e[31]=
0001e7c0: 6d 3e 3e 3e 32 34 26 32 35 35 7d 28 65 2c 74 2c m>>>24&255}(e,t,
0001e7d0: 6e 2c 72 29 7d 76 61 72 20 45 3d 6e 65 77 20 55 n,r)}var E=new U
0001e7e0: 69 6e 74 38 41 72 72 61 79 28 5b 31 30 31 2c 31 int8Array([101,1
0001e7f0: 32 30 2c 31 31 32 2c 39 37 2c 31 31 30 2c 31 30 20,112,97,110,10
0001e800: 30 2c 33 32 2c 35 31 2c 35 30 2c 34 35 2c 39 38 0,32,51,50,45,98
0001e810: 2c 31 32 31 2c 31 31 36 2c 31 30 31 2c 33 32 2c ,121,116,101,32,
0001e820: 31 30 37 5d 29 3b 66 75 6e 63 74 69 6f 6e 20 5f 107]);function _
0001e830: 28 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 2c 61 29 7b (e,t,n,r,o,i,a){
0001e840: 76 61 72 20 73 2c 6c 2c 63 3d 6e 65 77 20 55 69 var s,l,c=new Ui
0001e850: 6e 74 38 41 72 72 61 79 28 31 36 29 2c 75 3d 6e nt8Array(16),u=n
0001e860: 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 36 34 ew Uint8Array(64
0001e870: 29 3b 66 6f 72 28 6c 3d 30 3b 6c 3c 31 36 3b 6c );for(l=0;l<16;l
0001e880: 2b 2b 29 63 5b 6c 5d 3d 30 3b 66 6f 72 28 6c 3d ++)c[l]=0;for(l=
0001e890: 30 3b 6c 3c 38 3b 6c 2b 2b 29 63 5b 6c 5d 3d 69 0;l<8;l++)c[l]=i
0001e8a0: 5b 6c 5d 3b 66 6f 72 28 3b 6f 3e 3d 36 34 3b 29 [l];for(;o>=64;)
0001e8b0: 7b 66 6f 72 28 76 28 75 2c 63 2c 61 2c 45 29 2c {for(v(u,c,a,E),
0001e8c0: 6c 3d 30 3b 6c 3c 36 34 3b 6c 2b 2b 29 65 5b 74 l=0;l<64;l++)e[t
0001e8d0: 2b 6c 5d 3d 6e 5b 72 2b 6c 5d 5e 75 5b 6c 5d 3b +l]=n[r+l]^u[l];
0001e8e0: 66 6f 72 28 73 3d 31 2c 6c 3d 38 3b 6c 3c 31 36 for(s=1,l=8;l<16
0001e8f0: 3b 6c 2b 2b 29 73 3d 73 2b 28 32 35 35 26 63 5b ;l++)s=s+(255&c[
0001e900: 6c 5d 29 7c 30 2c 63 5b 6c 5d 3d 32 35 35 26 73 l])|0,c[l]=255&s
0001e910: 2c 73 3e 3e 3e 3d 38 3b 6f 2d 3d 36 34 2c 74 2b ,s>>>=8;o-=64,t+
0001e920: 3d 36 34 2c 72 2b 3d 36 34 7d 69 66 28 6f 3e 30 =64,r+=64}if(o>0
0001e930: 29 66 6f 72 28 76 28 75 2c 63 2c 61 2c 45 29 2c )for(v(u,c,a,E),
0001e940: 6c 3d 30 3b 6c 3c 6f 3b 6c 2b 2b 29 65 5b 74 2b l=0;l<o;l++)e[t+
0001e950: 6c 5d 3d 6e 5b 72 2b 6c 5d 5e 75 5b 6c 5d 3b 72 l]=n[r+l]^u[l];r
0001e960: 65 74 75 72 6e 20 30 7d 66 75 6e 63 74 69 6f 6e eturn 0}function
0001e970: 20 53 28 65 2c 74 2c 6e 2c 72 2c 6f 29 7b 76 61 S(e,t,n,r,o){va
0001e980: 72 20 69 2c 61 2c 73 3d 6e 65 77 20 55 69 6e 74 r i,a,s=new Uint
0001e990: 38 41 72 72 61 79 28 31 36 29 2c 6c 3d 6e 65 77 8Array(16),l=new
0001e9a0: 20 55 69 6e 74 38 41 72 72 61 79 28 36 34 29 3b Uint8Array(64);
0001e9b0: 66 6f 72 28 61 3d 30 3b 61 3c 31 36 3b 61 2b 2b for(a=0;a<16;a++
0001e9c0: 29 73 5b 61 5d 3d 30 3b 66 6f 72 28 61 3d 30 3b )s[a]=0;for(a=0;
0001e9d0: 61 3c 38 3b 61 2b 2b 29 73 5b 61 5d 3d 72 5b 61 a<8;a++)s[a]=r[a
0001e9e0: 5d 3b 66 6f 72 28 3b 6e 3e 3d 36 34 3b 29 7b 66 ];for(;n>=64;){f
0001e9f0: 6f 72 28 76 28 6c 2c 73 2c 6f 2c 45 29 2c 61 3d or(v(l,s,o,E),a=
0001ea00: 30 3b 61 3c 36 34 3b 61 2b 2b 29 65 5b 74 2b 61 0;a<64;a++)e[t+a
0001ea10: 5d 3d 6c 5b 61 5d 3b 66 6f 72 28 69 3d 31 2c 61 ]=l[a];for(i=1,a
0001ea20: 3d 38 3b 61 3c 31 36 3b 61 2b 2b 29 69 3d 69 2b =8;a<16;a++)i=i+
0001ea30: 28 32 35 35 26 73 5b 61 5d 29 7c 30 2c 73 5b 61 (255&s[a])|0,s[a
0001ea40: 5d 3d 32 35 35 26 69 2c 69 3e 3e 3e 3d 38 3b 6e ]=255&i,i>>>=8;n
0001ea50: 2d 3d 36 34 2c 74 2b 3d 36 34 7d 69 66 28 6e 3e -=64,t+=64}if(n>
0001ea60: 30 29 66 6f 72 28 76 28 6c 2c 73 2c 6f 2c 45 29 0)for(v(l,s,o,E)
0001ea70: 2c 61 3d 30 3b 61 3c 6e 3b 61 2b 2b 29 65 5b 74 ,a=0;a<n;a++)e[t
0001ea80: 2b 61 5d 3d 6c 5b 61 5d 3b 72 65 74 75 72 6e 20 +a]=l[a];return
0001ea90: 30 7d 66 75 6e 63 74 69 6f 6e 20 77 28 65 2c 74 0}function w(e,t
0001eaa0: 2c 6e 2c 72 2c 6f 29 7b 76 61 72 20 69 3d 6e 65 ,n,r,o){var i=ne
0001eab0: 77 20 55 69 6e 74 38 41 72 72 61 79 28 33 32 29 w Uint8Array(32)
0001eac0: 3b 79 28 69 2c 72 2c 6f 2c 45 29 3b 66 6f 72 28 ;y(i,r,o,E);for(
0001ead0: 76 61 72 20 61 3d 6e 65 77 20 55 69 6e 74 38 41 var a=new Uint8A
0001eae0: 72 72 61 79 28 38 29 2c 73 3d 30 3b 73 3c 38 3b rray(8),s=0;s<8;
0001eaf0: 73 2b 2b 29 61 5b 73 5d 3d 72 5b 73 2b 31 36 5d s++)a[s]=r[s+16]
0001eb00: 3b 72 65 74 75 72 6e 20 53 28 65 2c 74 2c 6e 2c ;return S(e,t,n,
0001eb10: 61 2c 69 29 7d 66 75 6e 63 74 69 6f 6e 20 54 28 a,i)}function T(
0001eb20: 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 2c 61 29 7b 76 e,t,n,r,o,i,a){v
0001eb30: 61 72 20 73 3d 6e 65 77 20 55 69 6e 74 38 41 72 ar s=new Uint8Ar
0001eb40: 72 61 79 28 33 32 29 3b 79 28 73 2c 69 2c 61 2c ray(32);y(s,i,a,
0001eb50: 45 29 3b 66 6f 72 28 76 61 72 20 6c 3d 6e 65 77 E);for(var l=new
0001eb60: 20 55 69 6e 74 38 41 72 72 61 79 28 38 29 2c 63 Uint8Array(8),c
0001eb70: 3d 30 3b 63 3c 38 3b 63 2b 2b 29 6c 5b 63 5d 3d =0;c<8;c++)l[c]=
0001eb80: 69 5b 63 2b 31 36 5d 3b 72 65 74 75 72 6e 20 5f i[c+16];return _
0001eb90: 28 65 2c 74 2c 6e 2c 72 2c 6f 2c 6c 2c 73 29 7d (e,t,n,r,o,l,s)}
0001eba0: 76 61 72 20 78 3d 66 75 6e 63 74 69 6f 6e 28 65 var x=function(e
0001ebb0: 29 7b 76 61 72 20 74 2c 6e 2c 72 2c 6f 2c 69 2c ){var t,n,r,o,i,
0001ebc0: 61 2c 73 2c 6c 3b 74 68 69 73 2e 62 75 66 66 65 a,s,l;this.buffe
0001ebd0: 72 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 r=new Uint8Array
0001ebe0: 28 31 36 29 2c 74 68 69 73 2e 72 3d 6e 65 77 20 (16),this.r=new
0001ebf0: 55 69 6e 74 31 36 41 72 72 61 79 28 31 30 29 2c Uint16Array(10),
0001ec00: 74 68 69 73 2e 68 3d 6e 65 77 20 55 69 6e 74 31 this.h=new Uint1
0001ec10: 36 41 72 72 61 79 28 31 30 29 2c 74 68 69 73 2e 6Array(10),this.
0001ec20: 70 61 64 3d 6e 65 77 20 55 69 6e 74 31 36 41 72 pad=new Uint16Ar
0001ec30: 72 61 79 28 38 29 2c 74 68 69 73 2e 6c 65 66 74 ray(8),this.left
0001ec40: 6f 76 65 72 3d 30 2c 74 68 69 73 2e 66 69 6e 3d over=0,this.fin=
0001ec50: 30 2c 74 3d 32 35 35 26 65 5b 30 5d 7c 28 32 35 0,t=255&e[0]|(25
0001ec60: 35 26 65 5b 31 5d 29 3c 3c 38 2c 74 68 69 73 2e 5&e[1])<<8,this.
0001ec70: 72 5b 30 5d 3d 38 31 39 31 26 74 2c 6e 3d 32 35 r[0]=8191&t,n=25
0001ec80: 35 26 65 5b 32 5d 7c 28 32 35 35 26 65 5b 33 5d 5&e[2]|(255&e[3]
0001ec90: 29 3c 3c 38 2c 74 68 69 73 2e 72 5b 31 5d 3d 38 )<<8,this.r[1]=8
0001eca0: 31 39 31 26 28 74 3e 3e 3e 31 33 7c 6e 3c 3c 33 191&(t>>>13|n<<3
0001ecb0: 29 2c 72 3d 32 35 35 26 65 5b 34 5d 7c 28 32 35 ),r=255&e[4]|(25
0001ecc0: 35 26 65 5b 35 5d 29 3c 3c 38 2c 74 68 69 73 2e 5&e[5])<<8,this.
0001ecd0: 72 5b 32 5d 3d 37 39 33 39 26 28 6e 3e 3e 3e 31 r[2]=7939&(n>>>1
0001ece0: 30 7c 72 3c 3c 36 29 2c 6f 3d 32 35 35 26 65 5b 0|r<<6),o=255&e[
0001ecf0: 36 5d 7c 28 32 35 35 26 65 5b 37 5d 29 3c 3c 38 6]|(255&e[7])<<8
0001ed00: 2c 74 68 69 73 2e 72 5b 33 5d 3d 38 31 39 31 26 ,this.r[3]=8191&
0001ed10: 28 72 3e 3e 3e 37 7c 6f 3c 3c 39 29 2c 69 3d 32 (r>>>7|o<<9),i=2
0001ed20: 35 35 26 65 5b 38 5d 7c 28 32 35 35 26 65 5b 39 55&e[8]|(255&e[9
0001ed30: 5d 29 3c 3c 38 2c 74 68 69 73 2e 72 5b 34 5d 3d ])<<8,this.r[4]=
0001ed40: 32 35 35 26 28 6f 3e 3e 3e 34 7c 69 3c 3c 31 32 255&(o>>>4|i<<12
0001ed50: 29 2c 74 68 69 73 2e 72 5b 35 5d 3d 69 3e 3e 3e ),this.r[5]=i>>>
0001ed60: 31 26 38 31 39 30 2c 61 3d 32 35 35 26 65 5b 31 1&8190,a=255&e[1
0001ed70: 30 5d 7c 28 32 35 35 26 65 5b 31 31 5d 29 3c 3c 0]|(255&e[11])<<
0001ed80: 38 2c 74 68 69 73 2e 72 5b 36 5d 3d 38 31 39 31 8,this.r[6]=8191
0001ed90: 26 28 69 3e 3e 3e 31 34 7c 61 3c 3c 32 29 2c 73 &(i>>>14|a<<2),s
0001eda0: 3d 32 35 35 26 65 5b 31 32 5d 7c 28 32 35 35 26 =255&e[12]|(255&
0001edb0: 65 5b 31 33 5d 29 3c 3c 38 2c 74 68 69 73 2e 72 e[13])<<8,this.r
0001edc0: 5b 37 5d 3d 38 30 36 35 26 28 61 3e 3e 3e 31 31 [7]=8065&(a>>>11
0001edd0: 7c 73 3c 3c 35 29 2c 6c 3d 32 35 35 26 65 5b 31 |s<<5),l=255&e[1
0001ede0: 34 5d 7c 28 32 35 35 26 65 5b 31 35 5d 29 3c 3c 4]|(255&e[15])<<
0001edf0: 38 2c 74 68 69 73 2e 72 5b 38 5d 3d 38 31 39 31 8,this.r[8]=8191
0001ee00: 26 28 73 3e 3e 3e 38 7c 6c 3c 3c 38 29 2c 74 68 &(s>>>8|l<<8),th
0001ee10: 69 73 2e 72 5b 39 5d 3d 6c 3e 3e 3e 35 26 31 32 is.r[9]=l>>>5&12
0001ee20: 37 2c 74 68 69 73 2e 70 61 64 5b 30 5d 3d 32 35 7,this.pad[0]=25
0001ee30: 35 26 65 5b 31 36 5d 7c 28 32 35 35 26 65 5b 31 5&e[16]|(255&e[1
0001ee40: 37 5d 29 3c 3c 38 2c 74 68 69 73 2e 70 61 64 5b 7])<<8,this.pad[
0001ee50: 31 5d 3d 32 35 35 26 65 5b 31 38 5d 7c 28 32 35 1]=255&e[18]|(25
0001ee60: 35 26 65 5b 31 39 5d 29 3c 3c 38 2c 74 68 69 73 5&e[19])<<8,this
0001ee70: 2e 70 61 64 5b 32 5d 3d 32 35 35 26 65 5b 32 30 .pad[2]=255&e[20
0001ee80: 5d 7c 28 32 35 35 26 65 5b 32 31 5d 29 3c 3c 38 ]|(255&e[21])<<8
0001ee90: 2c 74 68 69 73 2e 70 61 64 5b 33 5d 3d 32 35 35 ,this.pad[3]=255
0001eea0: 26 65 5b 32 32 5d 7c 28 32 35 35 26 65 5b 32 33 &e[22]|(255&e[23
0001eeb0: 5d 29 3c 3c 38 2c 74 68 69 73 2e 70 61 64 5b 34 ])<<8,this.pad[4
0001eec0: 5d 3d 32 35 35 26 65 5b 32 34 5d 7c 28 32 35 35 ]=255&e[24]|(255
0001eed0: 26 65 5b 32 35 5d 29 3c 3c 38 2c 74 68 69 73 2e &e[25])<<8,this.
0001eee0: 70 61 64 5b 35 5d 3d 32 35 35 26 65 5b 32 36 5d pad[5]=255&e[26]
0001eef0: 7c 28 32 35 35 26 65 5b 32 37 5d 29 3c 3c 38 2c |(255&e[27])<<8,
0001ef00: 74 68 69 73 2e 70 61 64 5b 36 5d 3d 32 35 35 26 this.pad[6]=255&
0001ef10: 65 5b 32 38 5d 7c 28 32 35 35 26 65 5b 32 39 5d e[28]|(255&e[29]
0001ef20: 29 3c 3c 38 2c 74 68 69 73 2e 70 61 64 5b 37 5d )<<8,this.pad[7]
0001ef30: 3d 32 35 35 26 65 5b 33 30 5d 7c 28 32 35 35 26 =255&e[30]|(255&
0001ef40: 65 5b 33 31 5d 29 3c 3c 38 7d 3b 66 75 6e 63 74 e[31])<<8};funct
0001ef50: 69 6f 6e 20 41 28 65 2c 74 2c 6e 2c 72 2c 6f 2c ion A(e,t,n,r,o,
0001ef60: 69 29 7b 76 61 72 20 61 3d 6e 65 77 20 78 28 69 i){var a=new x(i
0001ef70: 29 3b 72 65 74 75 72 6e 20 61 2e 75 70 64 61 74 );return a.updat
0001ef80: 65 28 6e 2c 72 2c 6f 29 2c 61 2e 66 69 6e 69 73 e(n,r,o),a.finis
0001ef90: 68 28 65 2c 74 29 2c 30 7d 66 75 6e 63 74 69 6f h(e,t),0}functio
0001efa0: 6e 20 43 28 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 29 n C(e,t,n,r,o,i)
0001efb0: 7b 76 61 72 20 61 3d 6e 65 77 20 55 69 6e 74 38 {var a=new Uint8
0001efc0: 41 72 72 61 79 28 31 36 29 3b 72 65 74 75 72 6e Array(16);return
0001efd0: 20 41 28 61 2c 30 2c 6e 2c 72 2c 6f 2c 69 29 2c A(a,0,n,r,o,i),
0001efe0: 67 28 65 2c 74 2c 61 2c 30 29 7d 66 75 6e 63 74 g(e,t,a,0)}funct
0001eff0: 69 6f 6e 20 52 28 65 2c 74 2c 6e 2c 72 2c 6f 29 ion R(e,t,n,r,o)
0001f000: 7b 76 61 72 20 69 3b 69 66 28 6e 3c 33 32 29 72 {var i;if(n<32)r
0001f010: 65 74 75 72 6e 2d 31 3b 66 6f 72 28 54 28 65 2c eturn-1;for(T(e,
0001f020: 30 2c 74 2c 30 2c 6e 2c 72 2c 6f 29 2c 41 28 65 0,t,0,n,r,o),A(e
0001f030: 2c 31 36 2c 65 2c 33 32 2c 6e 2d 33 32 2c 65 29 ,16,e,32,n-32,e)
0001f040: 2c 69 3d 30 3b 69 3c 31 36 3b 69 2b 2b 29 65 5b ,i=0;i<16;i++)e[
0001f050: 69 5d 3d 30 3b 72 65 74 75 72 6e 20 30 7d 66 75 i]=0;return 0}fu
0001f060: 6e 63 74 69 6f 6e 20 6b 28 65 2c 74 2c 6e 2c 72 nction k(e,t,n,r
0001f070: 2c 6f 29 7b 76 61 72 20 69 2c 61 3d 6e 65 77 20 ,o){var i,a=new
0001f080: 55 69 6e 74 38 41 72 72 61 79 28 33 32 29 3b 69 Uint8Array(32);i
0001f090: 66 28 6e 3c 33 32 29 72 65 74 75 72 6e 2d 31 3b f(n<32)return-1;
0001f0a0: 69 66 28 77 28 61 2c 30 2c 33 32 2c 72 2c 6f 29 if(w(a,0,32,r,o)
0001f0b0: 2c 30 21 3d 3d 43 28 74 2c 31 36 2c 74 2c 33 32 ,0!==C(t,16,t,32
0001f0c0: 2c 6e 2d 33 32 2c 61 29 29 72 65 74 75 72 6e 2d ,n-32,a))return-
0001f0d0: 31 3b 66 6f 72 28 54 28 65 2c 30 2c 74 2c 30 2c 1;for(T(e,0,t,0,
0001f0e0: 6e 2c 72 2c 6f 29 2c 69 3d 30 3b 69 3c 33 32 3b n,r,o),i=0;i<32;
0001f0f0: 69 2b 2b 29 65 5b 69 5d 3d 30 3b 72 65 74 75 72 i++)e[i]=0;retur
0001f100: 6e 20 30 7d 66 75 6e 63 74 69 6f 6e 20 4f 28 65 n 0}function O(e
0001f110: 2c 74 29 7b 76 61 72 20 6e 3b 66 6f 72 28 6e 3d ,t){var n;for(n=
0001f120: 30 3b 6e 3c 31 36 3b 6e 2b 2b 29 65 5b 6e 5d 3d 0;n<16;n++)e[n]=
0001f130: 30 7c 74 5b 6e 5d 7d 66 75 6e 63 74 69 6f 6e 20 0|t[n]}function
0001f140: 4e 28 65 29 7b 76 61 72 20 74 2c 6e 2c 72 3d 31 N(e){var t,n,r=1
0001f150: 3b 66 6f 72 28 74 3d 30 3b 74 3c 31 36 3b 74 2b ;for(t=0;t<16;t+
0001f160: 2b 29 6e 3d 65 5b 74 5d 2b 72 2b 36 35 35 33 35 +)n=e[t]+r+65535
0001f170: 2c 72 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 6e 2f ,r=Math.floor(n/
0001f180: 36 35 35 33 36 29 2c 65 5b 74 5d 3d 6e 2d 36 35 65536),e[t]=n-65
0001f190: 35 33 36 2a 72 3b 65 5b 30 5d 2b 3d 72 2d 31 2b 536*r;e[0]+=r-1+
0001f1a0: 33 37 2a 28 72 2d 31 29 7d 66 75 6e 63 74 69 6f 37*(r-1)}functio
0001f1b0: 6e 20 49 28 65 2c 74 2c 6e 29 7b 66 6f 72 28 76 n I(e,t,n){for(v
0001f1c0: 61 72 20 72 2c 6f 3d 7e 28 6e 2d 31 29 2c 69 3d ar r,o=~(n-1),i=
0001f1d0: 30 3b 69 3c 31 36 3b 69 2b 2b 29 72 3d 6f 26 28 0;i<16;i++)r=o&(
0001f1e0: 65 5b 69 5d 5e 74 5b 69 5d 29 2c 65 5b 69 5d 5e e[i]^t[i]),e[i]^
0001f1f0: 3d 72 2c 74 5b 69 5d 5e 3d 72 7d 66 75 6e 63 74 =r,t[i]^=r}funct
0001f200: 69 6f 6e 20 4d 28 65 2c 6e 29 7b 76 61 72 20 72 ion M(e,n){var r
0001f210: 2c 6f 2c 69 2c 61 3d 74 28 29 2c 73 3d 74 28 29 ,o,i,a=t(),s=t()
0001f220: 3b 66 6f 72 28 72 3d 30 3b 72 3c 31 36 3b 72 2b ;for(r=0;r<16;r+
0001f230: 2b 29 73 5b 72 5d 3d 6e 5b 72 5d 3b 66 6f 72 28 +)s[r]=n[r];for(
0001f240: 4e 28 73 29 2c 4e 28 73 29 2c 4e 28 73 29 2c 6f N(s),N(s),N(s),o
0001f250: 3d 30 3b 6f 3c 32 3b 6f 2b 2b 29 7b 66 6f 72 28 =0;o<2;o++){for(
0001f260: 61 5b 30 5d 3d 73 5b 30 5d 2d 36 35 35 31 37 2c a[0]=s[0]-65517,
0001f270: 72 3d 31 3b 72 3c 31 35 3b 72 2b 2b 29 61 5b 72 r=1;r<15;r++)a[r
0001f280: 5d 3d 73 5b 72 5d 2d 36 35 35 33 35 2d 28 61 5b ]=s[r]-65535-(a[
0001f290: 72 2d 31 5d 3e 3e 31 36 26 31 29 2c 61 5b 72 2d r-1]>>16&1),a[r-
0001f2a0: 31 5d 26 3d 36 35 35 33 35 3b 61 5b 31 35 5d 3d 1]&=65535;a[15]=
0001f2b0: 73 5b 31 35 5d 2d 33 32 37 36 37 2d 28 61 5b 31 s[15]-32767-(a[1
0001f2c0: 34 5d 3e 3e 31 36 26 31 29 2c 69 3d 61 5b 31 35 4]>>16&1),i=a[15
0001f2d0: 5d 3e 3e 31 36 26 31 2c 61 5b 31 34 5d 26 3d 36 ]>>16&1,a[14]&=6
0001f2e0: 35 35 33 35 2c 49 28 73 2c 61 2c 31 2d 69 29 7d 5535,I(s,a,1-i)}
0001f2f0: 66 6f 72 28 72 3d 30 3b 72 3c 31 36 3b 72 2b 2b for(r=0;r<16;r++
0001f300: 29 65 5b 32 2a 72 5d 3d 32 35 35 26 73 5b 72 5d )e[2*r]=255&s[r]
0001f310: 2c 65 5b 32 2a 72 2b 31 5d 3d 73 5b 72 5d 3e 3e ,e[2*r+1]=s[r]>>
0001f320: 38 7d 66 75 6e 63 74 69 6f 6e 20 50 28 65 2c 74 8}function P(e,t
0001f330: 29 7b 76 61 72 20 6e 3d 6e 65 77 20 55 69 6e 74 ){var n=new Uint
0001f340: 38 41 72 72 61 79 28 33 32 29 2c 72 3d 6e 65 77 8Array(32),r=new
0001f350: 20 55 69 6e 74 38 41 72 72 61 79 28 33 32 29 3b Uint8Array(32);
0001f360: 72 65 74 75 72 6e 20 4d 28 6e 2c 65 29 2c 4d 28 return M(n,e),M(
0001f370: 72 2c 74 29 2c 62 28 6e 2c 30 2c 72 2c 30 29 7d r,t),b(n,0,r,0)}
0001f380: 66 75 6e 63 74 69 6f 6e 20 44 28 65 29 7b 76 61 function D(e){va
0001f390: 72 20 74 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 r t=new Uint8Arr
0001f3a0: 61 79 28 33 32 29 3b 72 65 74 75 72 6e 20 4d 28 ay(32);return M(
0001f3b0: 74 2c 65 29 2c 31 26 74 5b 30 5d 7d 66 75 6e 63 t,e),1&t[0]}func
0001f3c0: 74 69 6f 6e 20 4c 28 65 2c 74 29 7b 76 61 72 20 tion L(e,t){var
0001f3d0: 6e 3b 66 6f 72 28 6e 3d 30 3b 6e 3c 31 36 3b 6e n;for(n=0;n<16;n
0001f3e0: 2b 2b 29 65 5b 6e 5d 3d 74 5b 32 2a 6e 5d 2b 28 ++)e[n]=t[2*n]+(
0001f3f0: 74 5b 32 2a 6e 2b 31 5d 3c 3c 38 29 3b 65 5b 31 t[2*n+1]<<8);e[1
0001f400: 35 5d 26 3d 33 32 37 36 37 7d 66 75 6e 63 74 69 5]&=32767}functi
0001f410: 6f 6e 20 46 28 65 2c 74 2c 6e 29 7b 66 6f 72 28 on F(e,t,n){for(
0001f420: 76 61 72 20 72 3d 30 3b 72 3c 31 36 3b 72 2b 2b var r=0;r<16;r++
0001f430: 29 65 5b 72 5d 3d 74 5b 72 5d 2b 6e 5b 72 5d 7d )e[r]=t[r]+n[r]}
0001f440: 66 75 6e 63 74 69 6f 6e 20 55 28 65 2c 74 2c 6e function U(e,t,n
0001f450: 29 7b 66 6f 72 28 76 61 72 20 72 3d 30 3b 72 3c ){for(var r=0;r<
0001f460: 31 36 3b 72 2b 2b 29 65 5b 72 5d 3d 74 5b 72 5d 16;r++)e[r]=t[r]
0001f470: 2d 6e 5b 72 5d 7d 66 75 6e 63 74 69 6f 6e 20 42 -n[r]}function B
0001f480: 28 65 2c 74 2c 6e 29 7b 76 61 72 20 72 2c 6f 2c (e,t,n){var r,o,
0001f490: 69 3d 30 2c 61 3d 30 2c 73 3d 30 2c 6c 3d 30 2c i=0,a=0,s=0,l=0,
0001f4a0: 63 3d 30 2c 75 3d 30 2c 64 3d 30 2c 70 3d 30 2c c=0,u=0,d=0,p=0,
0001f4b0: 66 3d 30 2c 6d 3d 30 2c 68 3d 30 2c 67 3d 30 2c f=0,m=0,h=0,g=0,
0001f4c0: 62 3d 30 2c 76 3d 30 2c 79 3d 30 2c 45 3d 30 2c b=0,v=0,y=0,E=0,
0001f4d0: 5f 3d 30 2c 53 3d 30 2c 77 3d 30 2c 54 3d 30 2c _=0,S=0,w=0,T=0,
0001f4e0: 78 3d 30 2c 41 3d 30 2c 43 3d 30 2c 52 3d 30 2c x=0,A=0,C=0,R=0,
0001f4f0: 6b 3d 30 2c 4f 3d 30 2c 4e 3d 30 2c 49 3d 30 2c k=0,O=0,N=0,I=0,
0001f500: 4d 3d 30 2c 50 3d 30 2c 44 3d 30 2c 4c 3d 6e 5b M=0,P=0,D=0,L=n[
0001f510: 30 5d 2c 46 3d 6e 5b 31 5d 2c 55 3d 6e 5b 32 5d 0],F=n[1],U=n[2]
0001f520: 2c 42 3d 6e 5b 33 5d 2c 6a 3d 6e 5b 34 5d 2c 7a ,B=n[3],j=n[4],z
0001f530: 3d 6e 5b 35 5d 2c 47 3d 6e 5b 36 5d 2c 48 3d 6e =n[5],G=n[6],H=n
0001f540: 5b 37 5d 2c 56 3d 6e 5b 38 5d 2c 71 3d 6e 5b 39 [7],V=n[8],q=n[9
0001f550: 5d 2c 57 3d 6e 5b 31 30 5d 2c 59 3d 6e 5b 31 31 ],W=n[10],Y=n[11
0001f560: 5d 2c 4b 3d 6e 5b 31 32 5d 2c 5a 3d 6e 5b 31 33 ],K=n[12],Z=n[13
0001f570: 5d 2c 58 3d 6e 5b 31 34 5d 2c 51 3d 6e 5b 31 35 ],X=n[14],Q=n[15
0001f580: 5d 3b 69 2b 3d 28 72 3d 74 5b 30 5d 29 2a 4c 2c ];i+=(r=t[0])*L,
0001f590: 61 2b 3d 72 2a 46 2c 73 2b 3d 72 2a 55 2c 6c 2b a+=r*F,s+=r*U,l+
0001f5a0: 3d 72 2a 42 2c 63 2b 3d 72 2a 6a 2c 75 2b 3d 72 =r*B,c+=r*j,u+=r
0001f5b0: 2a 7a 2c 64 2b 3d 72 2a 47 2c 70 2b 3d 72 2a 48 *z,d+=r*G,p+=r*H
0001f5c0: 2c 66 2b 3d 72 2a 56 2c 6d 2b 3d 72 2a 71 2c 68 ,f+=r*V,m+=r*q,h
0001f5d0: 2b 3d 72 2a 57 2c 67 2b 3d 72 2a 59 2c 62 2b 3d +=r*W,g+=r*Y,b+=
0001f5e0: 72 2a 4b 2c 76 2b 3d 72 2a 5a 2c 79 2b 3d 72 2a r*K,v+=r*Z,y+=r*
0001f5f0: 58 2c 45 2b 3d 72 2a 51 2c 61 2b 3d 28 72 3d 74 X,E+=r*Q,a+=(r=t
0001f600: 5b 31 5d 29 2a 4c 2c 73 2b 3d 72 2a 46 2c 6c 2b [1])*L,s+=r*F,l+
0001f610: 3d 72 2a 55 2c 63 2b 3d 72 2a 42 2c 75 2b 3d 72 =r*U,c+=r*B,u+=r
0001f620: 2a 6a 2c 64 2b 3d 72 2a 7a 2c 70 2b 3d 72 2a 47 *j,d+=r*z,p+=r*G
0001f630: 2c 66 2b 3d 72 2a 48 2c 6d 2b 3d 72 2a 56 2c 68 ,f+=r*H,m+=r*V,h
0001f640: 2b 3d 72 2a 71 2c 67 2b 3d 72 2a 57 2c 62 2b 3d +=r*q,g+=r*W,b+=
0001f650: 72 2a 59 2c 76 2b 3d 72 2a 4b 2c 79 2b 3d 72 2a r*Y,v+=r*K,y+=r*
0001f660: 5a 2c 45 2b 3d 72 2a 58 2c 5f 2b 3d 72 2a 51 2c Z,E+=r*X,_+=r*Q,
0001f670: 73 2b 3d 28 72 3d 74 5b 32 5d 29 2a 4c 2c 6c 2b s+=(r=t[2])*L,l+
0001f680: 3d 72 2a 46 2c 63 2b 3d 72 2a 55 2c 75 2b 3d 72 =r*F,c+=r*U,u+=r
0001f690: 2a 42 2c 64 2b 3d 72 2a 6a 2c 70 2b 3d 72 2a 7a *B,d+=r*j,p+=r*z
0001f6a0: 2c 66 2b 3d 72 2a 47 2c 6d 2b 3d 72 2a 48 2c 68 ,f+=r*G,m+=r*H,h
0001f6b0: 2b 3d 72 2a 56 2c 67 2b 3d 72 2a 71 2c 62 2b 3d +=r*V,g+=r*q,b+=
0001f6c0: 72 2a 57 2c 76 2b 3d 72 2a 59 2c 79 2b 3d 72 2a r*W,v+=r*Y,y+=r*
0001f6d0: 4b 2c 45 2b 3d 72 2a 5a 2c 5f 2b 3d 72 2a 58 2c K,E+=r*Z,_+=r*X,
0001f6e0: 53 2b 3d 72 2a 51 2c 6c 2b 3d 28 72 3d 74 5b 33 S+=r*Q,l+=(r=t[3
0001f6f0: 5d 29 2a 4c 2c 63 2b 3d 72 2a 46 2c 75 2b 3d 72 ])*L,c+=r*F,u+=r
0001f700: 2a 55 2c 64 2b 3d 72 2a 42 2c 70 2b 3d 72 2a 6a *U,d+=r*B,p+=r*j
0001f710: 2c 66 2b 3d 72 2a 7a 2c 6d 2b 3d 72 2a 47 2c 68 ,f+=r*z,m+=r*G,h
0001f720: 2b 3d 72 2a 48 2c 67 2b 3d 72 2a 56 2c 62 2b 3d +=r*H,g+=r*V,b+=
0001f730: 72 2a 71 2c 76 2b 3d 72 2a 57 2c 79 2b 3d 72 2a r*q,v+=r*W,y+=r*
0001f740: 59 2c 45 2b 3d 72 2a 4b 2c 5f 2b 3d 72 2a 5a 2c Y,E+=r*K,_+=r*Z,
0001f750: 53 2b 3d 72 2a 58 2c 77 2b 3d 72 2a 51 2c 63 2b S+=r*X,w+=r*Q,c+
0001f760: 3d 28 72 3d 74 5b 34 5d 29 2a 4c 2c 75 2b 3d 72 =(r=t[4])*L,u+=r
0001f770: 2a 46 2c 64 2b 3d 72 2a 55 2c 70 2b 3d 72 2a 42 *F,d+=r*U,p+=r*B
0001f780: 2c 66 2b 3d 72 2a 6a 2c 6d 2b 3d 72 2a 7a 2c 68 ,f+=r*j,m+=r*z,h
0001f790: 2b 3d 72 2a 47 2c 67 2b 3d 72 2a 48 2c 62 2b 3d +=r*G,g+=r*H,b+=
0001f7a0: 72 2a 56 2c 76 2b 3d 72 2a 71 2c 79 2b 3d 72 2a r*V,v+=r*q,y+=r*
0001f7b0: 57 2c 45 2b 3d 72 2a 59 2c 5f 2b 3d 72 2a 4b 2c W,E+=r*Y,_+=r*K,
0001f7c0: 53 2b 3d 72 2a 5a 2c 77 2b 3d 72 2a 58 2c 54 2b S+=r*Z,w+=r*X,T+
0001f7d0: 3d 72 2a 51 2c 75 2b 3d 28 72 3d 74 5b 35 5d 29 =r*Q,u+=(r=t[5])
0001f7e0: 2a 4c 2c 64 2b 3d 72 2a 46 2c 70 2b 3d 72 2a 55 *L,d+=r*F,p+=r*U
0001f7f0: 2c 66 2b 3d 72 2a 42 2c 6d 2b 3d 72 2a 6a 2c 68 ,f+=r*B,m+=r*j,h
0001f800: 2b 3d 72 2a 7a 2c 67 2b 3d 72 2a 47 2c 62 2b 3d +=r*z,g+=r*G,b+=
0001f810: 72 2a 48 2c 76 2b 3d 72 2a 56 2c 79 2b 3d 72 2a r*H,v+=r*V,y+=r*
0001f820: 71 2c 45 2b 3d 72 2a 57 2c 5f 2b 3d 72 2a 59 2c q,E+=r*W,_+=r*Y,
0001f830: 53 2b 3d 72 2a 4b 2c 77 2b 3d 72 2a 5a 2c 54 2b S+=r*K,w+=r*Z,T+
0001f840: 3d 72 2a 58 2c 78 2b 3d 72 2a 51 2c 64 2b 3d 28 =r*X,x+=r*Q,d+=(
0001f850: 72 3d 74 5b 36 5d 29 2a 4c 2c 70 2b 3d 72 2a 46 r=t[6])*L,p+=r*F
0001f860: 2c 66 2b 3d 72 2a 55 2c 6d 2b 3d 72 2a 42 2c 68 ,f+=r*U,m+=r*B,h
0001f870: 2b 3d 72 2a 6a 2c 67 2b 3d 72 2a 7a 2c 62 2b 3d +=r*j,g+=r*z,b+=
0001f880: 72 2a 47 2c 76 2b 3d 72 2a 48 2c 79 2b 3d 72 2a r*G,v+=r*H,y+=r*
0001f890: 56 2c 45 2b 3d 72 2a 71 2c 5f 2b 3d 72 2a 57 2c V,E+=r*q,_+=r*W,
0001f8a0: 53 2b 3d 72 2a 59 2c 77 2b 3d 72 2a 4b 2c 54 2b S+=r*Y,w+=r*K,T+
0001f8b0: 3d 72 2a 5a 2c 78 2b 3d 72 2a 58 2c 41 2b 3d 72 =r*Z,x+=r*X,A+=r
0001f8c0: 2a 51 2c 70 2b 3d 28 72 3d 74 5b 37 5d 29 2a 4c *Q,p+=(r=t[7])*L
0001f8d0: 2c 66 2b 3d 72 2a 46 2c 6d 2b 3d 72 2a 55 2c 68 ,f+=r*F,m+=r*U,h
0001f8e0: 2b 3d 72 2a 42 2c 67 2b 3d 72 2a 6a 2c 62 2b 3d +=r*B,g+=r*j,b+=
0001f8f0: 72 2a 7a 2c 76 2b 3d 72 2a 47 2c 79 2b 3d 72 2a r*z,v+=r*G,y+=r*
0001f900: 48 2c 45 2b 3d 72 2a 56 2c 5f 2b 3d 72 2a 71 2c H,E+=r*V,_+=r*q,
0001f910: 53 2b 3d 72 2a 57 2c 77 2b 3d 72 2a 59 2c 54 2b S+=r*W,w+=r*Y,T+
0001f920: 3d 72 2a 4b 2c 78 2b 3d 72 2a 5a 2c 41 2b 3d 72 =r*K,x+=r*Z,A+=r
0001f930: 2a 58 2c 43 2b 3d 72 2a 51 2c 66 2b 3d 28 72 3d *X,C+=r*Q,f+=(r=
0001f940: 74 5b 38 5d 29 2a 4c 2c 6d 2b 3d 72 2a 46 2c 68 t[8])*L,m+=r*F,h
0001f950: 2b 3d 72 2a 55 2c 67 2b 3d 72 2a 42 2c 62 2b 3d +=r*U,g+=r*B,b+=
0001f960: 72 2a 6a 2c 76 2b 3d 72 2a 7a 2c 79 2b 3d 72 2a r*j,v+=r*z,y+=r*
0001f970: 47 2c 45 2b 3d 72 2a 48 2c 5f 2b 3d 72 2a 56 2c G,E+=r*H,_+=r*V,
0001f980: 53 2b 3d 72 2a 71 2c 77 2b 3d 72 2a 57 2c 54 2b S+=r*q,w+=r*W,T+
0001f990: 3d 72 2a 59 2c 78 2b 3d 72 2a 4b 2c 41 2b 3d 72 =r*Y,x+=r*K,A+=r
0001f9a0: 2a 5a 2c 43 2b 3d 72 2a 58 2c 52 2b 3d 72 2a 51 *Z,C+=r*X,R+=r*Q
0001f9b0: 2c 6d 2b 3d 28 72 3d 74 5b 39 5d 29 2a 4c 2c 68 ,m+=(r=t[9])*L,h
0001f9c0: 2b 3d 72 2a 46 2c 67 2b 3d 72 2a 55 2c 62 2b 3d +=r*F,g+=r*U,b+=
0001f9d0: 72 2a 42 2c 76 2b 3d 72 2a 6a 2c 79 2b 3d 72 2a r*B,v+=r*j,y+=r*
0001f9e0: 7a 2c 45 2b 3d 72 2a 47 2c 5f 2b 3d 72 2a 48 2c z,E+=r*G,_+=r*H,
0001f9f0: 53 2b 3d 72 2a 56 2c 77 2b 3d 72 2a 71 2c 54 2b S+=r*V,w+=r*q,T+
0001fa00: 3d 72 2a 57 2c 78 2b 3d 72 2a 59 2c 41 2b 3d 72 =r*W,x+=r*Y,A+=r
0001fa10: 2a 4b 2c 43 2b 3d 72 2a 5a 2c 52 2b 3d 72 2a 58 *K,C+=r*Z,R+=r*X
0001fa20: 2c 6b 2b 3d 72 2a 51 2c 68 2b 3d 28 72 3d 74 5b ,k+=r*Q,h+=(r=t[
0001fa30: 31 30 5d 29 2a 4c 2c 67 2b 3d 72 2a 46 2c 62 2b 10])*L,g+=r*F,b+
0001fa40: 3d 72 2a 55 2c 76 2b 3d 72 2a 42 2c 79 2b 3d 72 =r*U,v+=r*B,y+=r
0001fa50: 2a 6a 2c 45 2b 3d 72 2a 7a 2c 5f 2b 3d 72 2a 47 *j,E+=r*z,_+=r*G
0001fa60: 2c 53 2b 3d 72 2a 48 2c 77 2b 3d 72 2a 56 2c 54 ,S+=r*H,w+=r*V,T
0001fa70: 2b 3d 72 2a 71 2c 78 2b 3d 72 2a 57 2c 41 2b 3d +=r*q,x+=r*W,A+=
0001fa80: 72 2a 59 2c 43 2b 3d 72 2a 4b 2c 52 2b 3d 72 2a r*Y,C+=r*K,R+=r*
0001fa90: 5a 2c 6b 2b 3d 72 2a 58 2c 4f 2b 3d 72 2a 51 2c Z,k+=r*X,O+=r*Q,
0001faa0: 67 2b 3d 28 72 3d 74 5b 31 31 5d 29 2a 4c 2c 62 g+=(r=t[11])*L,b
0001fab0: 2b 3d 72 2a 46 2c 76 2b 3d 72 2a 55 2c 79 2b 3d +=r*F,v+=r*U,y+=
0001fac0: 72 2a 42 2c 45 2b 3d 72 2a 6a 2c 5f 2b 3d 72 2a r*B,E+=r*j,_+=r*
0001fad0: 7a 2c 53 2b 3d 72 2a 47 2c 77 2b 3d 72 2a 48 2c z,S+=r*G,w+=r*H,
0001fae0: 54 2b 3d 72 2a 56 2c 78 2b 3d 72 2a 71 2c 41 2b T+=r*V,x+=r*q,A+
0001faf0: 3d 72 2a 57 2c 43 2b 3d 72 2a 59 2c 52 2b 3d 72 =r*W,C+=r*Y,R+=r
0001fb00: 2a 4b 2c 6b 2b 3d 72 2a 5a 2c 4f 2b 3d 72 2a 58 *K,k+=r*Z,O+=r*X
0001fb10: 2c 4e 2b 3d 72 2a 51 2c 62 2b 3d 28 72 3d 74 5b ,N+=r*Q,b+=(r=t[
0001fb20: 31 32 5d 29 2a 4c 2c 76 2b 3d 72 2a 46 2c 79 2b 12])*L,v+=r*F,y+
0001fb30: 3d 72 2a 55 2c 45 2b 3d 72 2a 42 2c 5f 2b 3d 72 =r*U,E+=r*B,_+=r
0001fb40: 2a 6a 2c 53 2b 3d 72 2a 7a 2c 77 2b 3d 72 2a 47 *j,S+=r*z,w+=r*G
0001fb50: 2c 54 2b 3d 72 2a 48 2c 78 2b 3d 72 2a 56 2c 41 ,T+=r*H,x+=r*V,A
0001fb60: 2b 3d 72 2a 71 2c 43 2b 3d 72 2a 57 2c 52 2b 3d +=r*q,C+=r*W,R+=
0001fb70: 72 2a 59 2c 6b 2b 3d 72 2a 4b 2c 4f 2b 3d 72 2a r*Y,k+=r*K,O+=r*
0001fb80: 5a 2c 4e 2b 3d 72 2a 58 2c 49 2b 3d 72 2a 51 2c Z,N+=r*X,I+=r*Q,
0001fb90: 76 2b 3d 28 72 3d 74 5b 31 33 5d 29 2a 4c 2c 79 v+=(r=t[13])*L,y
0001fba0: 2b 3d 72 2a 46 2c 45 2b 3d 72 2a 55 2c 5f 2b 3d +=r*F,E+=r*U,_+=
0001fbb0: 72 2a 42 2c 53 2b 3d 72 2a 6a 2c 77 2b 3d 72 2a r*B,S+=r*j,w+=r*
0001fbc0: 7a 2c 54 2b 3d 72 2a 47 2c 78 2b 3d 72 2a 48 2c z,T+=r*G,x+=r*H,
0001fbd0: 41 2b 3d 72 2a 56 2c 43 2b 3d 72 2a 71 2c 52 2b A+=r*V,C+=r*q,R+
0001fbe0: 3d 72 2a 57 2c 6b 2b 3d 72 2a 59 2c 4f 2b 3d 72 =r*W,k+=r*Y,O+=r
0001fbf0: 2a 4b 2c 4e 2b 3d 72 2a 5a 2c 49 2b 3d 72 2a 58 *K,N+=r*Z,I+=r*X
0001fc00: 2c 4d 2b 3d 72 2a 51 2c 79 2b 3d 28 72 3d 74 5b ,M+=r*Q,y+=(r=t[
0001fc10: 31 34 5d 29 2a 4c 2c 45 2b 3d 72 2a 46 2c 5f 2b 14])*L,E+=r*F,_+
0001fc20: 3d 72 2a 55 2c 53 2b 3d 72 2a 42 2c 77 2b 3d 72 =r*U,S+=r*B,w+=r
0001fc30: 2a 6a 2c 54 2b 3d 72 2a 7a 2c 78 2b 3d 72 2a 47 *j,T+=r*z,x+=r*G
0001fc40: 2c 41 2b 3d 72 2a 48 2c 43 2b 3d 72 2a 56 2c 52 ,A+=r*H,C+=r*V,R
0001fc50: 2b 3d 72 2a 71 2c 6b 2b 3d 72 2a 57 2c 4f 2b 3d +=r*q,k+=r*W,O+=
0001fc60: 72 2a 59 2c 4e 2b 3d 72 2a 4b 2c 49 2b 3d 72 2a r*Y,N+=r*K,I+=r*
0001fc70: 5a 2c 4d 2b 3d 72 2a 58 2c 50 2b 3d 72 2a 51 2c Z,M+=r*X,P+=r*Q,
0001fc80: 45 2b 3d 28 72 3d 74 5b 31 35 5d 29 2a 4c 2c 61 E+=(r=t[15])*L,a
0001fc90: 2b 3d 33 38 2a 28 53 2b 3d 72 2a 55 29 2c 73 2b +=38*(S+=r*U),s+
0001fca0: 3d 33 38 2a 28 77 2b 3d 72 2a 42 29 2c 6c 2b 3d =38*(w+=r*B),l+=
0001fcb0: 33 38 2a 28 54 2b 3d 72 2a 6a 29 2c 63 2b 3d 33 38*(T+=r*j),c+=3
0001fcc0: 38 2a 28 78 2b 3d 72 2a 7a 29 2c 75 2b 3d 33 38 8*(x+=r*z),u+=38
0001fcd0: 2a 28 41 2b 3d 72 2a 47 29 2c 64 2b 3d 33 38 2a *(A+=r*G),d+=38*
0001fce0: 28 43 2b 3d 72 2a 48 29 2c 70 2b 3d 33 38 2a 28 (C+=r*H),p+=38*(
0001fcf0: 52 2b 3d 72 2a 56 29 2c 66 2b 3d 33 38 2a 28 6b R+=r*V),f+=38*(k
0001fd00: 2b 3d 72 2a 71 29 2c 6d 2b 3d 33 38 2a 28 4f 2b +=r*q),m+=38*(O+
0001fd10: 3d 72 2a 57 29 2c 68 2b 3d 33 38 2a 28 4e 2b 3d =r*W),h+=38*(N+=
0001fd20: 72 2a 59 29 2c 67 2b 3d 33 38 2a 28 49 2b 3d 72 r*Y),g+=38*(I+=r
0001fd30: 2a 4b 29 2c 62 2b 3d 33 38 2a 28 4d 2b 3d 72 2a *K),b+=38*(M+=r*
0001fd40: 5a 29 2c 76 2b 3d 33 38 2a 28 50 2b 3d 72 2a 58 Z),v+=38*(P+=r*X
0001fd50: 29 2c 79 2b 3d 33 38 2a 28 44 2b 3d 72 2a 51 29 ),y+=38*(D+=r*Q)
0001fd60: 2c 69 3d 28 72 3d 28 69 2b 3d 33 38 2a 28 5f 2b ,i=(r=(i+=38*(_+
0001fd70: 3d 72 2a 46 29 29 2b 28 6f 3d 31 29 2b 36 35 35 =r*F))+(o=1)+655
0001fd80: 33 35 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 61 74 35)-65536*(o=Mat
0001fd90: 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 35 33 36 29 h.floor(r/65536)
0001fda0: 29 2c 61 3d 28 72 3d 61 2b 6f 2b 36 35 35 33 35 ),a=(r=a+o+65535
0001fdb0: 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 61 74 68 2e )-65536*(o=Math.
0001fdc0: 66 6c 6f 6f 72 28 72 2f 36 35 35 33 36 29 29 2c floor(r/65536)),
0001fdd0: 73 3d 28 72 3d 73 2b 6f 2b 36 35 35 33 35 29 2d s=(r=s+o+65535)-
0001fde0: 36 35 35 33 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 65536*(o=Math.fl
0001fdf0: 6f 6f 72 28 72 2f 36 35 35 33 36 29 29 2c 6c 3d oor(r/65536)),l=
0001fe00: 28 72 3d 6c 2b 6f 2b 36 35 35 33 35 29 2d 36 35 (r=l+o+65535)-65
0001fe10: 35 33 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 536*(o=Math.floo
0001fe20: 72 28 72 2f 36 35 35 33 36 29 29 2c 63 3d 28 72 r(r/65536)),c=(r
0001fe30: 3d 63 2b 6f 2b 36 35 35 33 35 29 2d 36 35 35 33 =c+o+65535)-6553
0001fe40: 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 6*(o=Math.floor(
0001fe50: 72 2f 36 35 35 33 36 29 29 2c 75 3d 28 72 3d 75 r/65536)),u=(r=u
0001fe60: 2b 6f 2b 36 35 35 33 35 29 2d 36 35 35 33 36 2a +o+65535)-65536*
0001fe70: 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 72 2f (o=Math.floor(r/
0001fe80: 36 35 35 33 36 29 29 2c 64 3d 28 72 3d 64 2b 6f 65536)),d=(r=d+o
0001fe90: 2b 36 35 35 33 35 29 2d 36 35 35 33 36 2a 28 6f +65535)-65536*(o
0001fea0: 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 =Math.floor(r/65
0001feb0: 35 33 36 29 29 2c 70 3d 28 72 3d 70 2b 6f 2b 36 536)),p=(r=p+o+6
0001fec0: 35 35 33 35 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 5535)-65536*(o=M
0001fed0: 61 74 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 35 33 ath.floor(r/6553
0001fee0: 36 29 29 2c 66 3d 28 72 3d 66 2b 6f 2b 36 35 35 6)),f=(r=f+o+655
0001fef0: 33 35 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 61 74 35)-65536*(o=Mat
0001ff00: 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 35 33 36 29 h.floor(r/65536)
0001ff10: 29 2c 6d 3d 28 72 3d 6d 2b 6f 2b 36 35 35 33 35 ),m=(r=m+o+65535
0001ff20: 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 61 74 68 2e )-65536*(o=Math.
0001ff30: 66 6c 6f 6f 72 28 72 2f 36 35 35 33 36 29 29 2c floor(r/65536)),
0001ff40: 68 3d 28 72 3d 68 2b 6f 2b 36 35 35 33 35 29 2d h=(r=h+o+65535)-
0001ff50: 36 35 35 33 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 65536*(o=Math.fl
0001ff60: 6f 6f 72 28 72 2f 36 35 35 33 36 29 29 2c 67 3d oor(r/65536)),g=
0001ff70: 28 72 3d 67 2b 6f 2b 36 35 35 33 35 29 2d 36 35 (r=g+o+65535)-65
0001ff80: 35 33 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 536*(o=Math.floo
0001ff90: 72 28 72 2f 36 35 35 33 36 29 29 2c 62 3d 28 72 r(r/65536)),b=(r
0001ffa0: 3d 62 2b 6f 2b 36 35 35 33 35 29 2d 36 35 35 33 =b+o+65535)-6553
0001ffb0: 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 6*(o=Math.floor(
0001ffc0: 72 2f 36 35 35 33 36 29 29 2c 76 3d 28 72 3d 76 r/65536)),v=(r=v
0001ffd0: 2b 6f 2b 36 35 35 33 35 29 2d 36 35 35 33 36 2a +o+65535)-65536*
0001ffe0: 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 72 2f (o=Math.floor(r/
0001fff0: 36 35 35 33 36 29 29 2c 79 3d 28 72 3d 79 2b 6f 65536)),y=(r=y+o
00020000: 2b 36 35 35 33 35 29 2d 36 35 35 33 36 2a 28 6f +65535)-65536*(o
00020010: 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 =Math.floor(r/65
00020020: 35 33 36 29 29 2c 45 3d 28 72 3d 45 2b 6f 2b 36 536)),E=(r=E+o+6
00020030: 35 35 33 35 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 5535)-65536*(o=M
00020040: 61 74 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 35 33 ath.floor(r/6553
00020050: 36 29 29 2c 69 3d 28 72 3d 28 69 2b 3d 6f 2d 31 6)),i=(r=(i+=o-1
00020060: 2b 33 37 2a 28 6f 2d 31 29 29 2b 28 6f 3d 31 29 +37*(o-1))+(o=1)
00020070: 2b 36 35 35 33 35 29 2d 36 35 35 33 36 2a 28 6f +65535)-65536*(o
00020080: 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 =Math.floor(r/65
00020090: 35 33 36 29 29 2c 61 3d 28 72 3d 61 2b 6f 2b 36 536)),a=(r=a+o+6
000200a0: 35 35 33 35 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 5535)-65536*(o=M
000200b0: 61 74 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 35 33 ath.floor(r/6553
000200c0: 36 29 29 2c 73 3d 28 72 3d 73 2b 6f 2b 36 35 35 6)),s=(r=s+o+655
000200d0: 33 35 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 61 74 35)-65536*(o=Mat
000200e0: 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 35 33 36 29 h.floor(r/65536)
000200f0: 29 2c 6c 3d 28 72 3d 6c 2b 6f 2b 36 35 35 33 35 ),l=(r=l+o+65535
00020100: 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 61 74 68 2e )-65536*(o=Math.
00020110: 66 6c 6f 6f 72 28 72 2f 36 35 35 33 36 29 29 2c floor(r/65536)),
00020120: 63 3d 28 72 3d 63 2b 6f 2b 36 35 35 33 35 29 2d c=(r=c+o+65535)-
00020130: 36 35 35 33 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 65536*(o=Math.fl
00020140: 6f 6f 72 28 72 2f 36 35 35 33 36 29 29 2c 75 3d oor(r/65536)),u=
00020150: 28 72 3d 75 2b 6f 2b 36 35 35 33 35 29 2d 36 35 (r=u+o+65535)-65
00020160: 35 33 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 536*(o=Math.floo
00020170: 72 28 72 2f 36 35 35 33 36 29 29 2c 64 3d 28 72 r(r/65536)),d=(r
00020180: 3d 64 2b 6f 2b 36 35 35 33 35 29 2d 36 35 35 33 =d+o+65535)-6553
00020190: 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 6*(o=Math.floor(
000201a0: 72 2f 36 35 35 33 36 29 29 2c 70 3d 28 72 3d 70 r/65536)),p=(r=p
000201b0: 2b 6f 2b 36 35 35 33 35 29 2d 36 35 35 33 36 2a +o+65535)-65536*
000201c0: 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 72 2f (o=Math.floor(r/
000201d0: 36 35 35 33 36 29 29 2c 66 3d 28 72 3d 66 2b 6f 65536)),f=(r=f+o
000201e0: 2b 36 35 35 33 35 29 2d 36 35 35 33 36 2a 28 6f +65535)-65536*(o
000201f0: 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 =Math.floor(r/65
00020200: 35 33 36 29 29 2c 6d 3d 28 72 3d 6d 2b 6f 2b 36 536)),m=(r=m+o+6
00020210: 35 35 33 35 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 5535)-65536*(o=M
00020220: 61 74 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 35 33 ath.floor(r/6553
00020230: 36 29 29 2c 68 3d 28 72 3d 68 2b 6f 2b 36 35 35 6)),h=(r=h+o+655
00020240: 33 35 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 61 74 35)-65536*(o=Mat
00020250: 68 2e 66 6c 6f 6f 72 28 72 2f 36 35 35 33 36 29 h.floor(r/65536)
00020260: 29 2c 67 3d 28 72 3d 67 2b 6f 2b 36 35 35 33 35 ),g=(r=g+o+65535
00020270: 29 2d 36 35 35 33 36 2a 28 6f 3d 4d 61 74 68 2e )-65536*(o=Math.
00020280: 66 6c 6f 6f 72 28 72 2f 36 35 35 33 36 29 29 2c floor(r/65536)),
00020290: 62 3d 28 72 3d 62 2b 6f 2b 36 35 35 33 35 29 2d b=(r=b+o+65535)-
000202a0: 36 35 35 33 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 65536*(o=Math.fl
000202b0: 6f 6f 72 28 72 2f 36 35 35 33 36 29 29 2c 76 3d oor(r/65536)),v=
000202c0: 28 72 3d 76 2b 6f 2b 36 35 35 33 35 29 2d 36 35 (r=v+o+65535)-65
000202d0: 35 33 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 536*(o=Math.floo
000202e0: 72 28 72 2f 36 35 35 33 36 29 29 2c 79 3d 28 72 r(r/65536)),y=(r
000202f0: 3d 79 2b 6f 2b 36 35 35 33 35 29 2d 36 35 35 33 =y+o+65535)-6553
00020300: 36 2a 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 6*(o=Math.floor(
00020310: 72 2f 36 35 35 33 36 29 29 2c 45 3d 28 72 3d 45 r/65536)),E=(r=E
00020320: 2b 6f 2b 36 35 35 33 35 29 2d 36 35 35 33 36 2a +o+65535)-65536*
00020330: 28 6f 3d 4d 61 74 68 2e 66 6c 6f 6f 72 28 72 2f (o=Math.floor(r/
00020340: 36 35 35 33 36 29 29 2c 69 2b 3d 6f 2d 31 2b 33 65536)),i+=o-1+3
00020350: 37 2a 28 6f 2d 31 29 2c 65 5b 30 5d 3d 69 2c 65 7*(o-1),e[0]=i,e
00020360: 5b 31 5d 3d 61 2c 65 5b 32 5d 3d 73 2c 65 5b 33 [1]=a,e[2]=s,e[3
00020370: 5d 3d 6c 2c 65 5b 34 5d 3d 63 2c 65 5b 35 5d 3d ]=l,e[4]=c,e[5]=
00020380: 75 2c 65 5b 36 5d 3d 64 2c 65 5b 37 5d 3d 70 2c u,e[6]=d,e[7]=p,
00020390: 65 5b 38 5d 3d 66 2c 65 5b 39 5d 3d 6d 2c 65 5b e[8]=f,e[9]=m,e[
000203a0: 31 30 5d 3d 68 2c 65 5b 31 31 5d 3d 67 2c 65 5b 10]=h,e[11]=g,e[
000203b0: 31 32 5d 3d 62 2c 65 5b 31 33 5d 3d 76 2c 65 5b 12]=b,e[13]=v,e[
000203c0: 31 34 5d 3d 79 2c 65 5b 31 35 5d 3d 45 7d 66 75 14]=y,e[15]=E}fu
000203d0: 6e 63 74 69 6f 6e 20 6a 28 65 2c 74 29 7b 42 28 nction j(e,t){B(
000203e0: 65 2c 74 2c 74 29 7d 66 75 6e 63 74 69 6f 6e 20 e,t,t)}function
000203f0: 7a 28 65 2c 6e 29 7b 76 61 72 20 72 2c 6f 3d 74 z(e,n){var r,o=t
00020400: 28 29 3b 66 6f 72 28 72 3d 30 3b 72 3c 31 36 3b ();for(r=0;r<16;
00020410: 72 2b 2b 29 6f 5b 72 5d 3d 6e 5b 72 5d 3b 66 6f r++)o[r]=n[r];fo
00020420: 72 28 72 3d 32 35 33 3b 72 3e 3d 30 3b 72 2d 2d r(r=253;r>=0;r--
00020430: 29 6a 28 6f 2c 6f 29 2c 32 21 3d 3d 72 26 26 34 )j(o,o),2!==r&&4
00020440: 21 3d 3d 72 26 26 42 28 6f 2c 6f 2c 6e 29 3b 66 !==r&&B(o,o,n);f
00020450: 6f 72 28 72 3d 30 3b 72 3c 31 36 3b 72 2b 2b 29 or(r=0;r<16;r++)
00020460: 65 5b 72 5d 3d 6f 5b 72 5d 7d 66 75 6e 63 74 69 e[r]=o[r]}functi
00020470: 6f 6e 20 47 28 65 2c 6e 29 7b 76 61 72 20 72 2c on G(e,n){var r,
00020480: 6f 3d 74 28 29 3b 66 6f 72 28 72 3d 30 3b 72 3c o=t();for(r=0;r<
00020490: 31 36 3b 72 2b 2b 29 6f 5b 72 5d 3d 6e 5b 72 5d 16;r++)o[r]=n[r]
000204a0: 3b 66 6f 72 28 72 3d 32 35 30 3b 72 3e 3d 30 3b ;for(r=250;r>=0;
000204b0: 72 2d 2d 29 6a 28 6f 2c 6f 29 2c 31 21 3d 3d 72 r--)j(o,o),1!==r
000204c0: 26 26 42 28 6f 2c 6f 2c 6e 29 3b 66 6f 72 28 72 &&B(o,o,n);for(r
000204d0: 3d 30 3b 72 3c 31 36 3b 72 2b 2b 29 65 5b 72 5d =0;r<16;r++)e[r]
000204e0: 3d 6f 5b 72 5d 7d 66 75 6e 63 74 69 6f 6e 20 48 =o[r]}function H
000204f0: 28 65 2c 6e 2c 72 29 7b 76 61 72 20 6f 2c 69 2c (e,n,r){var o,i,
00020500: 61 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 a=new Uint8Array
00020510: 28 33 32 29 2c 73 3d 6e 65 77 20 46 6c 6f 61 74 (32),s=new Float
00020520: 36 34 41 72 72 61 79 28 38 30 29 2c 63 3d 74 28 64Array(80),c=t(
00020530: 29 2c 75 3d 74 28 29 2c 64 3d 74 28 29 2c 70 3d ),u=t(),d=t(),p=
00020540: 74 28 29 2c 66 3d 74 28 29 2c 6d 3d 74 28 29 3b t(),f=t(),m=t();
00020550: 66 6f 72 28 69 3d 30 3b 69 3c 33 31 3b 69 2b 2b for(i=0;i<31;i++
00020560: 29 61 5b 69 5d 3d 6e 5b 69 5d 3b 66 6f 72 28 61 )a[i]=n[i];for(a
00020570: 5b 33 31 5d 3d 31 32 37 26 6e 5b 33 31 5d 7c 36 [31]=127&n[31]|6
00020580: 34 2c 61 5b 30 5d 26 3d 32 34 38 2c 4c 28 73 2c 4,a[0]&=248,L(s,
00020590: 72 29 2c 69 3d 30 3b 69 3c 31 36 3b 69 2b 2b 29 r),i=0;i<16;i++)
000205a0: 75 5b 69 5d 3d 73 5b 69 5d 2c 70 5b 69 5d 3d 63 u[i]=s[i],p[i]=c
000205b0: 5b 69 5d 3d 64 5b 69 5d 3d 30 3b 66 6f 72 28 63 [i]=d[i]=0;for(c
000205c0: 5b 30 5d 3d 70 5b 30 5d 3d 31 2c 69 3d 32 35 34 [0]=p[0]=1,i=254
000205d0: 3b 69 3e 3d 30 3b 2d 2d 69 29 49 28 63 2c 75 2c ;i>=0;--i)I(c,u,
000205e0: 6f 3d 61 5b 69 3e 3e 3e 33 5d 3e 3e 3e 28 37 26 o=a[i>>>3]>>>(7&
000205f0: 69 29 26 31 29 2c 49 28 64 2c 70 2c 6f 29 2c 46 i)&1),I(d,p,o),F
00020600: 28 66 2c 63 2c 64 29 2c 55 28 63 2c 63 2c 64 29 (f,c,d),U(c,c,d)
00020610: 2c 46 28 64 2c 75 2c 70 29 2c 55 28 75 2c 75 2c ,F(d,u,p),U(u,u,
00020620: 70 29 2c 6a 28 70 2c 66 29 2c 6a 28 6d 2c 63 29 p),j(p,f),j(m,c)
00020630: 2c 42 28 63 2c 64 2c 63 29 2c 42 28 64 2c 75 2c ,B(c,d,c),B(d,u,
00020640: 66 29 2c 46 28 66 2c 63 2c 64 29 2c 55 28 63 2c f),F(f,c,d),U(c,
00020650: 63 2c 64 29 2c 6a 28 75 2c 63 29 2c 55 28 64 2c c,d),j(u,c),U(d,
00020660: 70 2c 6d 29 2c 42 28 63 2c 64 2c 6c 29 2c 46 28 p,m),B(c,d,l),F(
00020670: 63 2c 63 2c 70 29 2c 42 28 64 2c 64 2c 63 29 2c c,c,p),B(d,d,c),
00020680: 42 28 63 2c 70 2c 6d 29 2c 42 28 70 2c 75 2c 73 B(c,p,m),B(p,u,s
00020690: 29 2c 6a 28 75 2c 66 29 2c 49 28 63 2c 75 2c 6f ),j(u,f),I(c,u,o
000206a0: 29 2c 49 28 64 2c 70 2c 6f 29 3b 66 6f 72 28 69 ),I(d,p,o);for(i
000206b0: 3d 30 3b 69 3c 31 36 3b 69 2b 2b 29 73 5b 69 2b =0;i<16;i++)s[i+
000206c0: 31 36 5d 3d 63 5b 69 5d 2c 73 5b 69 2b 33 32 5d 16]=c[i],s[i+32]
000206d0: 3d 64 5b 69 5d 2c 73 5b 69 2b 34 38 5d 3d 75 5b =d[i],s[i+48]=u[
000206e0: 69 5d 2c 73 5b 69 2b 36 34 5d 3d 70 5b 69 5d 3b i],s[i+64]=p[i];
000206f0: 76 61 72 20 68 3d 73 2e 73 75 62 61 72 72 61 79 var h=s.subarray
00020700: 28 33 32 29 2c 67 3d 73 2e 73 75 62 61 72 72 61 (32),g=s.subarra
00020710: 79 28 31 36 29 3b 72 65 74 75 72 6e 20 7a 28 68 y(16);return z(h
00020720: 2c 68 29 2c 42 28 67 2c 67 2c 68 29 2c 4d 28 65 ,h),B(g,g,h),M(e
00020730: 2c 67 29 2c 30 7d 66 75 6e 63 74 69 6f 6e 20 56 ,g),0}function V
00020740: 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 48 28 65 (e,t){return H(e
00020750: 2c 74 2c 69 29 7d 66 75 6e 63 74 69 6f 6e 20 71 ,t,i)}function q
00020760: 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 72 28 74 (e,t){return r(t
00020770: 2c 33 32 29 2c 56 28 65 2c 74 29 7d 66 75 6e 63 ,32),V(e,t)}func
00020780: 74 69 6f 6e 20 57 28 65 2c 74 2c 6e 29 7b 76 61 tion W(e,t,n){va
00020790: 72 20 72 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 r r=new Uint8Arr
000207a0: 61 79 28 33 32 29 3b 72 65 74 75 72 6e 20 48 28 ay(32);return H(
000207b0: 72 2c 6e 2c 74 29 2c 79 28 65 2c 6f 2c 72 2c 45 r,n,t),y(e,o,r,E
000207c0: 29 7d 78 2e 70 72 6f 74 6f 74 79 70 65 2e 62 6c )}x.prototype.bl
000207d0: 6f 63 6b 73 3d 66 75 6e 63 74 69 6f 6e 28 65 2c ocks=function(e,
000207e0: 74 2c 6e 29 7b 66 6f 72 28 76 61 72 20 72 2c 6f t,n){for(var r,o
000207f0: 2c 69 2c 61 2c 73 2c 6c 2c 63 2c 75 2c 64 2c 70 ,i,a,s,l,c,u,d,p
00020800: 2c 66 2c 6d 2c 68 2c 67 2c 62 2c 76 2c 79 2c 45 ,f,m,h,g,b,v,y,E
00020810: 2c 5f 2c 53 3d 74 68 69 73 2e 66 69 6e 3f 30 3a ,_,S=this.fin?0:
00020820: 32 30 34 38 2c 77 3d 74 68 69 73 2e 68 5b 30 5d 2048,w=this.h[0]
00020830: 2c 54 3d 74 68 69 73 2e 68 5b 31 5d 2c 78 3d 74 ,T=this.h[1],x=t
00020840: 68 69 73 2e 68 5b 32 5d 2c 41 3d 74 68 69 73 2e his.h[2],A=this.
00020850: 68 5b 33 5d 2c 43 3d 74 68 69 73 2e 68 5b 34 5d h[3],C=this.h[4]
00020860: 2c 52 3d 74 68 69 73 2e 68 5b 35 5d 2c 6b 3d 74 ,R=this.h[5],k=t
00020870: 68 69 73 2e 68 5b 36 5d 2c 4f 3d 74 68 69 73 2e his.h[6],O=this.
00020880: 68 5b 37 5d 2c 4e 3d 74 68 69 73 2e 68 5b 38 5d h[7],N=this.h[8]
00020890: 2c 49 3d 74 68 69 73 2e 68 5b 39 5d 2c 4d 3d 74 ,I=this.h[9],M=t
000208a0: 68 69 73 2e 72 5b 30 5d 2c 50 3d 74 68 69 73 2e his.r[0],P=this.
000208b0: 72 5b 31 5d 2c 44 3d 74 68 69 73 2e 72 5b 32 5d r[1],D=this.r[2]
000208c0: 2c 4c 3d 74 68 69 73 2e 72 5b 33 5d 2c 46 3d 74 ,L=this.r[3],F=t
000208d0: 68 69 73 2e 72 5b 34 5d 2c 55 3d 74 68 69 73 2e his.r[4],U=this.
000208e0: 72 5b 35 5d 2c 42 3d 74 68 69 73 2e 72 5b 36 5d r[5],B=this.r[6]
000208f0: 2c 6a 3d 74 68 69 73 2e 72 5b 37 5d 2c 7a 3d 74 ,j=this.r[7],z=t
00020900: 68 69 73 2e 72 5b 38 5d 2c 47 3d 74 68 69 73 2e his.r[8],G=this.
00020910: 72 5b 39 5d 3b 6e 3e 3d 31 36 3b 29 70 3d 64 3d r[9];n>=16;)p=d=
00020920: 30 2c 70 2b 3d 28 77 2b 3d 38 31 39 31 26 28 72 0,p+=(w+=8191&(r
00020930: 3d 32 35 35 26 65 5b 74 2b 30 5d 7c 28 32 35 35 =255&e[t+0]|(255
00020940: 26 65 5b 74 2b 31 5d 29 3c 3c 38 29 29 2a 4d 2c &e[t+1])<<8))*M,
00020950: 70 2b 3d 28 54 2b 3d 38 31 39 31 26 28 72 3e 3e p+=(T+=8191&(r>>
00020960: 3e 31 33 7c 28 6f 3d 32 35 35 26 65 5b 74 2b 32 >13|(o=255&e[t+2
00020970: 5d 7c 28 32 35 35 26 65 5b 74 2b 33 5d 29 3c 3c ]|(255&e[t+3])<<
00020980: 38 29 3c 3c 33 29 29 2a 28 35 2a 47 29 2c 70 2b 8)<<3))*(5*G),p+
00020990: 3d 28 78 2b 3d 38 31 39 31 26 28 6f 3e 3e 3e 31 =(x+=8191&(o>>>1
000209a0: 30 7c 28 69 3d 32 35 35 26 65 5b 74 2b 34 5d 7c 0|(i=255&e[t+4]|
000209b0: 28 32 35 35 26 65 5b 74 2b 35 5d 29 3c 3c 38 29 (255&e[t+5])<<8)
000209c0: 3c 3c 36 29 29 2a 28 35 2a 7a 29 2c 70 2b 3d 28 <<6))*(5*z),p+=(
000209d0: 41 2b 3d 38 31 39 31 26 28 69 3e 3e 3e 37 7c 28 A+=8191&(i>>>7|(
000209e0: 61 3d 32 35 35 26 65 5b 74 2b 36 5d 7c 28 32 35 a=255&e[t+6]|(25
000209f0: 35 26 65 5b 74 2b 37 5d 29 3c 3c 38 29 3c 3c 39 5&e[t+7])<<8)<<9
00020a00: 29 29 2a 28 35 2a 6a 29 2c 64 3d 28 70 2b 3d 28 ))*(5*j),d=(p+=(
00020a10: 43 2b 3d 38 31 39 31 26 28 61 3e 3e 3e 34 7c 28 C+=8191&(a>>>4|(
00020a20: 73 3d 32 35 35 26 65 5b 74 2b 38 5d 7c 28 32 35 s=255&e[t+8]|(25
00020a30: 35 26 65 5b 74 2b 39 5d 29 3c 3c 38 29 3c 3c 31 5&e[t+9])<<8)<<1
00020a40: 32 29 29 2a 28 35 2a 42 29 29 3e 3e 3e 31 33 2c 2))*(5*B))>>>13,
00020a50: 70 26 3d 38 31 39 31 2c 70 2b 3d 28 52 2b 3d 73 p&=8191,p+=(R+=s
00020a60: 3e 3e 3e 31 26 38 31 39 31 29 2a 28 35 2a 55 29 >>>1&8191)*(5*U)
00020a70: 2c 70 2b 3d 28 6b 2b 3d 38 31 39 31 26 28 73 3e ,p+=(k+=8191&(s>
00020a80: 3e 3e 31 34 7c 28 6c 3d 32 35 35 26 65 5b 74 2b >>14|(l=255&e[t+
00020a90: 31 30 5d 7c 28 32 35 35 26 65 5b 74 2b 31 31 5d 10]|(255&e[t+11]
00020aa0: 29 3c 3c 38 29 3c 3c 32 29 29 2a 28 35 2a 46 29 )<<8)<<2))*(5*F)
00020ab0: 2c 70 2b 3d 28 4f 2b 3d 38 31 39 31 26 28 6c 3e ,p+=(O+=8191&(l>
00020ac0: 3e 3e 31 31 7c 28 63 3d 32 35 35 26 65 5b 74 2b >>11|(c=255&e[t+
00020ad0: 31 32 5d 7c 28 32 35 35 26 65 5b 74 2b 31 33 5d 12]|(255&e[t+13]
00020ae0: 29 3c 3c 38 29 3c 3c 35 29 29 2a 28 35 2a 4c 29 )<<8)<<5))*(5*L)
00020af0: 2c 70 2b 3d 28 4e 2b 3d 38 31 39 31 26 28 63 3e ,p+=(N+=8191&(c>
00020b00: 3e 3e 38 7c 28 75 3d 32 35 35 26 65 5b 74 2b 31 >>8|(u=255&e[t+1
00020b10: 34 5d 7c 28 32 35 35 26 65 5b 74 2b 31 35 5d 29 4]|(255&e[t+15])
00020b20: 3c 3c 38 29 3c 3c 38 29 29 2a 28 35 2a 44 29 2c <<8)<<8))*(5*D),
00020b30: 66 3d 64 2b 3d 28 70 2b 3d 28 49 2b 3d 75 3e 3e f=d+=(p+=(I+=u>>
00020b40: 3e 35 7c 53 29 2a 28 35 2a 50 29 29 3e 3e 3e 31 >5|S)*(5*P))>>>1
00020b50: 33 2c 66 2b 3d 77 2a 50 2c 66 2b 3d 54 2a 4d 2c 3,f+=w*P,f+=T*M,
00020b60: 66 2b 3d 78 2a 28 35 2a 47 29 2c 66 2b 3d 41 2a f+=x*(5*G),f+=A*
00020b70: 28 35 2a 7a 29 2c 64 3d 28 66 2b 3d 43 2a 28 35 (5*z),d=(f+=C*(5
00020b80: 2a 6a 29 29 3e 3e 3e 31 33 2c 66 26 3d 38 31 39 *j))>>>13,f&=819
00020b90: 31 2c 66 2b 3d 52 2a 28 35 2a 42 29 2c 66 2b 3d 1,f+=R*(5*B),f+=
00020ba0: 6b 2a 28 35 2a 55 29 2c 66 2b 3d 4f 2a 28 35 2a k*(5*U),f+=O*(5*
00020bb0: 46 29 2c 66 2b 3d 4e 2a 28 35 2a 4c 29 2c 64 2b F),f+=N*(5*L),d+
00020bc0: 3d 28 66 2b 3d 49 2a 28 35 2a 44 29 29 3e 3e 3e =(f+=I*(5*D))>>>
00020bd0: 31 33 2c 66 26 3d 38 31 39 31 2c 6d 3d 64 2c 6d 13,f&=8191,m=d,m
00020be0: 2b 3d 77 2a 44 2c 6d 2b 3d 54 2a 50 2c 6d 2b 3d +=w*D,m+=T*P,m+=
00020bf0: 78 2a 4d 2c 6d 2b 3d 41 2a 28 35 2a 47 29 2c 64 x*M,m+=A*(5*G),d
00020c00: 3d 28 6d 2b 3d 43 2a 28 35 2a 7a 29 29 3e 3e 3e =(m+=C*(5*z))>>>
00020c10: 31 33 2c 6d 26 3d 38 31 39 31 2c 6d 2b 3d 52 2a 13,m&=8191,m+=R*
00020c20: 28 35 2a 6a 29 2c 6d 2b 3d 6b 2a 28 35 2a 42 29 (5*j),m+=k*(5*B)
00020c30: 2c 6d 2b 3d 4f 2a 28 35 2a 55 29 2c 6d 2b 3d 4e ,m+=O*(5*U),m+=N
00020c40: 2a 28 35 2a 46 29 2c 68 3d 64 2b 3d 28 6d 2b 3d *(5*F),h=d+=(m+=
00020c50: 49 2a 28 35 2a 4c 29 29 3e 3e 3e 31 33 2c 68 2b I*(5*L))>>>13,h+
00020c60: 3d 77 2a 4c 2c 68 2b 3d 54 2a 44 2c 68 2b 3d 78 =w*L,h+=T*D,h+=x
00020c70: 2a 50 2c 68 2b 3d 41 2a 4d 2c 64 3d 28 68 2b 3d *P,h+=A*M,d=(h+=
00020c80: 43 2a 28 35 2a 47 29 29 3e 3e 3e 31 33 2c 68 26 C*(5*G))>>>13,h&
00020c90: 3d 38 31 39 31 2c 68 2b 3d 52 2a 28 35 2a 7a 29 =8191,h+=R*(5*z)
00020ca0: 2c 68 2b 3d 6b 2a 28 35 2a 6a 29 2c 68 2b 3d 4f ,h+=k*(5*j),h+=O
00020cb0: 2a 28 35 2a 42 29 2c 68 2b 3d 4e 2a 28 35 2a 55 *(5*B),h+=N*(5*U
00020cc0: 29 2c 67 3d 64 2b 3d 28 68 2b 3d 49 2a 28 35 2a ),g=d+=(h+=I*(5*
00020cd0: 46 29 29 3e 3e 3e 31 33 2c 67 2b 3d 77 2a 46 2c F))>>>13,g+=w*F,
00020ce0: 67 2b 3d 54 2a 4c 2c 67 2b 3d 78 2a 44 2c 67 2b g+=T*L,g+=x*D,g+
00020cf0: 3d 41 2a 50 2c 64 3d 28 67 2b 3d 43 2a 4d 29 3e =A*P,d=(g+=C*M)>
00020d00: 3e 3e 31 33 2c 67 26 3d 38 31 39 31 2c 67 2b 3d >>13,g&=8191,g+=
00020d10: 52 2a 28 35 2a 47 29 2c 67 2b 3d 6b 2a 28 35 2a R*(5*G),g+=k*(5*
00020d20: 7a 29 2c 67 2b 3d 4f 2a 28 35 2a 6a 29 2c 67 2b z),g+=O*(5*j),g+
00020d30: 3d 4e 2a 28 35 2a 42 29 2c 62 3d 64 2b 3d 28 67 =N*(5*B),b=d+=(g
00020d40: 2b 3d 49 2a 28 35 2a 55 29 29 3e 3e 3e 31 33 2c +=I*(5*U))>>>13,
00020d50: 62 2b 3d 77 2a 55 2c 62 2b 3d 54 2a 46 2c 62 2b b+=w*U,b+=T*F,b+
00020d60: 3d 78 2a 4c 2c 62 2b 3d 41 2a 44 2c 64 3d 28 62 =x*L,b+=A*D,d=(b
00020d70: 2b 3d 43 2a 50 29 3e 3e 3e 31 33 2c 62 26 3d 38 +=C*P)>>>13,b&=8
00020d80: 31 39 31 2c 62 2b 3d 52 2a 4d 2c 62 2b 3d 6b 2a 191,b+=R*M,b+=k*
00020d90: 28 35 2a 47 29 2c 62 2b 3d 4f 2a 28 35 2a 7a 29 (5*G),b+=O*(5*z)
00020da0: 2c 62 2b 3d 4e 2a 28 35 2a 6a 29 2c 76 3d 64 2b ,b+=N*(5*j),v=d+
00020db0: 3d 28 62 2b 3d 49 2a 28 35 2a 42 29 29 3e 3e 3e =(b+=I*(5*B))>>>
00020dc0: 31 33 2c 76 2b 3d 77 2a 42 2c 76 2b 3d 54 2a 55 13,v+=w*B,v+=T*U
00020dd0: 2c 76 2b 3d 78 2a 46 2c 76 2b 3d 41 2a 4c 2c 64 ,v+=x*F,v+=A*L,d
00020de0: 3d 28 76 2b 3d 43 2a 44 29 3e 3e 3e 31 33 2c 76 =(v+=C*D)>>>13,v
00020df0: 26 3d 38 31 39 31 2c 76 2b 3d 52 2a 50 2c 76 2b &=8191,v+=R*P,v+
00020e00: 3d 6b 2a 4d 2c 76 2b 3d 4f 2a 28 35 2a 47 29 2c =k*M,v+=O*(5*G),
00020e10: 76 2b 3d 4e 2a 28 35 2a 7a 29 2c 79 3d 64 2b 3d v+=N*(5*z),y=d+=
00020e20: 28 76 2b 3d 49 2a 28 35 2a 6a 29 29 3e 3e 3e 31 (v+=I*(5*j))>>>1
00020e30: 33 2c 79 2b 3d 77 2a 6a 2c 79 2b 3d 54 2a 42 2c 3,y+=w*j,y+=T*B,
00020e40: 79 2b 3d 78 2a 55 2c 79 2b 3d 41 2a 46 2c 64 3d y+=x*U,y+=A*F,d=
00020e50: 28 79 2b 3d 43 2a 4c 29 3e 3e 3e 31 33 2c 79 26 (y+=C*L)>>>13,y&
00020e60: 3d 38 31 39 31 2c 79 2b 3d 52 2a 44 2c 79 2b 3d =8191,y+=R*D,y+=
00020e70: 6b 2a 50 2c 79 2b 3d 4f 2a 4d 2c 79 2b 3d 4e 2a k*P,y+=O*M,y+=N*
00020e80: 28 35 2a 47 29 2c 45 3d 64 2b 3d 28 79 2b 3d 49 (5*G),E=d+=(y+=I
00020e90: 2a 28 35 2a 7a 29 29 3e 3e 3e 31 33 2c 45 2b 3d *(5*z))>>>13,E+=
00020ea0: 77 2a 7a 2c 45 2b 3d 54 2a 6a 2c 45 2b 3d 78 2a w*z,E+=T*j,E+=x*
00020eb0: 42 2c 45 2b 3d 41 2a 55 2c 64 3d 28 45 2b 3d 43 B,E+=A*U,d=(E+=C
00020ec0: 2a 46 29 3e 3e 3e 31 33 2c 45 26 3d 38 31 39 31 *F)>>>13,E&=8191
00020ed0: 2c 45 2b 3d 52 2a 4c 2c 45 2b 3d 6b 2a 44 2c 45 ,E+=R*L,E+=k*D,E
00020ee0: 2b 3d 4f 2a 50 2c 45 2b 3d 4e 2a 4d 2c 5f 3d 64 +=O*P,E+=N*M,_=d
00020ef0: 2b 3d 28 45 2b 3d 49 2a 28 35 2a 47 29 29 3e 3e +=(E+=I*(5*G))>>
00020f00: 3e 31 33 2c 5f 2b 3d 77 2a 47 2c 5f 2b 3d 54 2a >13,_+=w*G,_+=T*
00020f10: 7a 2c 5f 2b 3d 78 2a 6a 2c 5f 2b 3d 41 2a 42 2c z,_+=x*j,_+=A*B,
00020f20: 64 3d 28 5f 2b 3d 43 2a 55 29 3e 3e 3e 31 33 2c d=(_+=C*U)>>>13,
00020f30: 5f 26 3d 38 31 39 31 2c 5f 2b 3d 52 2a 46 2c 5f _&=8191,_+=R*F,_
00020f40: 2b 3d 6b 2a 4c 2c 5f 2b 3d 4f 2a 44 2c 5f 2b 3d +=k*L,_+=O*D,_+=
00020f50: 4e 2a 50 2c 77 3d 70 3d 38 31 39 31 26 28 64 3d N*P,w=p=8191&(d=
00020f60: 28 64 3d 28 28 64 2b 3d 28 5f 2b 3d 49 2a 4d 29 (d=((d+=(_+=I*M)
00020f70: 3e 3e 3e 31 33 29 3c 3c 32 29 2b 64 7c 30 29 2b >>>13)<<2)+d|0)+
00020f80: 28 70 26 3d 38 31 39 31 29 7c 30 29 2c 54 3d 66 (p&=8191)|0),T=f
00020f90: 2b 3d 64 3e 3e 3e 3d 31 33 2c 78 3d 6d 26 3d 38 +=d>>>=13,x=m&=8
00020fa0: 31 39 31 2c 41 3d 68 26 3d 38 31 39 31 2c 43 3d 191,A=h&=8191,C=
00020fb0: 67 26 3d 38 31 39 31 2c 52 3d 62 26 3d 38 31 39 g&=8191,R=b&=819
00020fc0: 31 2c 6b 3d 76 26 3d 38 31 39 31 2c 4f 3d 79 26 1,k=v&=8191,O=y&
00020fd0: 3d 38 31 39 31 2c 4e 3d 45 26 3d 38 31 39 31 2c =8191,N=E&=8191,
00020fe0: 49 3d 5f 26 3d 38 31 39 31 2c 74 2b 3d 31 36 2c I=_&=8191,t+=16,
00020ff0: 6e 2d 3d 31 36 3b 74 68 69 73 2e 68 5b 30 5d 3d n-=16;this.h[0]=
00021000: 77 2c 74 68 69 73 2e 68 5b 31 5d 3d 54 2c 74 68 w,this.h[1]=T,th
00021010: 69 73 2e 68 5b 32 5d 3d 78 2c 74 68 69 73 2e 68 is.h[2]=x,this.h
00021020: 5b 33 5d 3d 41 2c 74 68 69 73 2e 68 5b 34 5d 3d [3]=A,this.h[4]=
00021030: 43 2c 74 68 69 73 2e 68 5b 35 5d 3d 52 2c 74 68 C,this.h[5]=R,th
00021040: 69 73 2e 68 5b 36 5d 3d 6b 2c 74 68 69 73 2e 68 is.h[6]=k,this.h
00021050: 5b 37 5d 3d 4f 2c 74 68 69 73 2e 68 5b 38 5d 3d [7]=O,this.h[8]=
00021060: 4e 2c 74 68 69 73 2e 68 5b 39 5d 3d 49 7d 2c 78 N,this.h[9]=I},x
00021070: 2e 70 72 6f 74 6f 74 79 70 65 2e 66 69 6e 69 73 .prototype.finis
00021080: 68 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b h=function(e,t){
00021090: 76 61 72 20 6e 2c 72 2c 6f 2c 69 2c 61 3d 6e 65 var n,r,o,i,a=ne
000210a0: 77 20 55 69 6e 74 31 36 41 72 72 61 79 28 31 30 w Uint16Array(10
000210b0: 29 3b 69 66 28 74 68 69 73 2e 6c 65 66 74 6f 76 );if(this.leftov
000210c0: 65 72 29 7b 66 6f 72 28 69 3d 74 68 69 73 2e 6c er){for(i=this.l
000210d0: 65 66 74 6f 76 65 72 2c 74 68 69 73 2e 62 75 66 eftover,this.buf
000210e0: 66 65 72 5b 69 2b 2b 5d 3d 31 3b 69 3c 31 36 3b fer[i++]=1;i<16;
000210f0: 69 2b 2b 29 74 68 69 73 2e 62 75 66 66 65 72 5b i++)this.buffer[
00021100: 69 5d 3d 30 3b 74 68 69 73 2e 66 69 6e 3d 31 2c i]=0;this.fin=1,
00021110: 74 68 69 73 2e 62 6c 6f 63 6b 73 28 74 68 69 73 this.blocks(this
00021120: 2e 62 75 66 66 65 72 2c 30 2c 31 36 29 7d 66 6f .buffer,0,16)}fo
00021130: 72 28 6e 3d 74 68 69 73 2e 68 5b 31 5d 3e 3e 3e r(n=this.h[1]>>>
00021140: 31 33 2c 74 68 69 73 2e 68 5b 31 5d 26 3d 38 31 13,this.h[1]&=81
00021150: 39 31 2c 69 3d 32 3b 69 3c 31 30 3b 69 2b 2b 29 91,i=2;i<10;i++)
00021160: 74 68 69 73 2e 68 5b 69 5d 2b 3d 6e 2c 6e 3d 74 this.h[i]+=n,n=t
00021170: 68 69 73 2e 68 5b 69 5d 3e 3e 3e 31 33 2c 74 68 his.h[i]>>>13,th
00021180: 69 73 2e 68 5b 69 5d 26 3d 38 31 39 31 3b 66 6f is.h[i]&=8191;fo
00021190: 72 28 74 68 69 73 2e 68 5b 30 5d 2b 3d 35 2a 6e r(this.h[0]+=5*n
000211a0: 2c 6e 3d 74 68 69 73 2e 68 5b 30 5d 3e 3e 3e 31 ,n=this.h[0]>>>1
000211b0: 33 2c 74 68 69 73 2e 68 5b 30 5d 26 3d 38 31 39 3,this.h[0]&=819
000211c0: 31 2c 74 68 69 73 2e 68 5b 31 5d 2b 3d 6e 2c 6e 1,this.h[1]+=n,n
000211d0: 3d 74 68 69 73 2e 68 5b 31 5d 3e 3e 3e 31 33 2c =this.h[1]>>>13,
000211e0: 74 68 69 73 2e 68 5b 31 5d 26 3d 38 31 39 31 2c this.h[1]&=8191,
000211f0: 74 68 69 73 2e 68 5b 32 5d 2b 3d 6e 2c 61 5b 30 this.h[2]+=n,a[0
00021200: 5d 3d 74 68 69 73 2e 68 5b 30 5d 2b 35 2c 6e 3d ]=this.h[0]+5,n=
00021210: 61 5b 30 5d 3e 3e 3e 31 33 2c 61 5b 30 5d 26 3d a[0]>>>13,a[0]&=
00021220: 38 31 39 31 2c 69 3d 31 3b 69 3c 31 30 3b 69 2b 8191,i=1;i<10;i+
00021230: 2b 29 61 5b 69 5d 3d 74 68 69 73 2e 68 5b 69 5d +)a[i]=this.h[i]
00021240: 2b 6e 2c 6e 3d 61 5b 69 5d 3e 3e 3e 31 33 2c 61 +n,n=a[i]>>>13,a
00021250: 5b 69 5d 26 3d 38 31 39 31 3b 66 6f 72 28 61 5b [i]&=8191;for(a[
00021260: 39 5d 2d 3d 38 31 39 32 2c 72 3d 28 31 5e 6e 29 9]-=8192,r=(1^n)
00021270: 2d 31 2c 69 3d 30 3b 69 3c 31 30 3b 69 2b 2b 29 -1,i=0;i<10;i++)
00021280: 61 5b 69 5d 26 3d 72 3b 66 6f 72 28 72 3d 7e 72 a[i]&=r;for(r=~r
00021290: 2c 69 3d 30 3b 69 3c 31 30 3b 69 2b 2b 29 74 68 ,i=0;i<10;i++)th
000212a0: 69 73 2e 68 5b 69 5d 3d 74 68 69 73 2e 68 5b 69 is.h[i]=this.h[i
000212b0: 5d 26 72 7c 61 5b 69 5d 3b 66 6f 72 28 74 68 69 ]&r|a[i];for(thi
000212c0: 73 2e 68 5b 30 5d 3d 36 35 35 33 35 26 28 74 68 s.h[0]=65535&(th
000212d0: 69 73 2e 68 5b 30 5d 7c 74 68 69 73 2e 68 5b 31 is.h[0]|this.h[1
000212e0: 5d 3c 3c 31 33 29 2c 74 68 69 73 2e 68 5b 31 5d ]<<13),this.h[1]
000212f0: 3d 36 35 35 33 35 26 28 74 68 69 73 2e 68 5b 31 =65535&(this.h[1
00021300: 5d 3e 3e 3e 33 7c 74 68 69 73 2e 68 5b 32 5d 3c ]>>>3|this.h[2]<
00021310: 3c 31 30 29 2c 74 68 69 73 2e 68 5b 32 5d 3d 36 <10),this.h[2]=6
00021320: 35 35 33 35 26 28 74 68 69 73 2e 68 5b 32 5d 3e 5535&(this.h[2]>
00021330: 3e 3e 36 7c 74 68 69 73 2e 68 5b 33 5d 3c 3c 37 >>6|this.h[3]<<7
00021340: 29 2c 74 68 69 73 2e 68 5b 33 5d 3d 36 35 35 33 ),this.h[3]=6553
00021350: 35 26 28 74 68 69 73 2e 68 5b 33 5d 3e 3e 3e 39 5&(this.h[3]>>>9
00021360: 7c 74 68 69 73 2e 68 5b 34 5d 3c 3c 34 29 2c 74 |this.h[4]<<4),t
00021370: 68 69 73 2e 68 5b 34 5d 3d 36 35 35 33 35 26 28 his.h[4]=65535&(
00021380: 74 68 69 73 2e 68 5b 34 5d 3e 3e 3e 31 32 7c 74 this.h[4]>>>12|t
00021390: 68 69 73 2e 68 5b 35 5d 3c 3c 31 7c 74 68 69 73 his.h[5]<<1|this
000213a0: 2e 68 5b 36 5d 3c 3c 31 34 29 2c 74 68 69 73 2e .h[6]<<14),this.
000213b0: 68 5b 35 5d 3d 36 35 35 33 35 26 28 74 68 69 73 h[5]=65535&(this
000213c0: 2e 68 5b 36 5d 3e 3e 3e 32 7c 74 68 69 73 2e 68 .h[6]>>>2|this.h
000213d0: 5b 37 5d 3c 3c 31 31 29 2c 74 68 69 73 2e 68 5b [7]<<11),this.h[
000213e0: 36 5d 3d 36 35 35 33 35 26 28 74 68 69 73 2e 68 6]=65535&(this.h
000213f0: 5b 37 5d 3e 3e 3e 35 7c 74 68 69 73 2e 68 5b 38 [7]>>>5|this.h[8
00021400: 5d 3c 3c 38 29 2c 74 68 69 73 2e 68 5b 37 5d 3d ]<<8),this.h[7]=
00021410: 36 35 35 33 35 26 28 74 68 69 73 2e 68 5b 38 5d 65535&(this.h[8]
00021420: 3e 3e 3e 38 7c 74 68 69 73 2e 68 5b 39 5d 3c 3c >>>8|this.h[9]<<
00021430: 35 29 2c 6f 3d 74 68 69 73 2e 68 5b 30 5d 2b 74 5),o=this.h[0]+t
00021440: 68 69 73 2e 70 61 64 5b 30 5d 2c 74 68 69 73 2e his.pad[0],this.
00021450: 68 5b 30 5d 3d 36 35 35 33 35 26 6f 2c 69 3d 31 h[0]=65535&o,i=1
00021460: 3b 69 3c 38 3b 69 2b 2b 29 6f 3d 28 74 68 69 73 ;i<8;i++)o=(this
00021470: 2e 68 5b 69 5d 2b 74 68 69 73 2e 70 61 64 5b 69 .h[i]+this.pad[i
00021480: 5d 7c 30 29 2b 28 6f 3e 3e 3e 31 36 29 7c 30 2c ]|0)+(o>>>16)|0,
00021490: 74 68 69 73 2e 68 5b 69 5d 3d 36 35 35 33 35 26 this.h[i]=65535&
000214a0: 6f 3b 65 5b 74 2b 30 5d 3d 74 68 69 73 2e 68 5b o;e[t+0]=this.h[
000214b0: 30 5d 3e 3e 3e 30 26 32 35 35 2c 65 5b 74 2b 31 0]>>>0&255,e[t+1
000214c0: 5d 3d 74 68 69 73 2e 68 5b 30 5d 3e 3e 3e 38 26 ]=this.h[0]>>>8&
000214d0: 32 35 35 2c 65 5b 74 2b 32 5d 3d 74 68 69 73 2e 255,e[t+2]=this.
000214e0: 68 5b 31 5d 3e 3e 3e 30 26 32 35 35 2c 65 5b 74 h[1]>>>0&255,e[t
000214f0: 2b 33 5d 3d 74 68 69 73 2e 68 5b 31 5d 3e 3e 3e +3]=this.h[1]>>>
00021500: 38 26 32 35 35 2c 65 5b 74 2b 34 5d 3d 74 68 69 8&255,e[t+4]=thi
00021510: 73 2e 68 5b 32 5d 3e 3e 3e 30 26 32 35 35 2c 65 s.h[2]>>>0&255,e
00021520: 5b 74 2b 35 5d 3d 74 68 69 73 2e 68 5b 32 5d 3e [t+5]=this.h[2]>
00021530: 3e 3e 38 26 32 35 35 2c 65 5b 74 2b 36 5d 3d 74 >>8&255,e[t+6]=t
00021540: 68 69 73 2e 68 5b 33 5d 3e 3e 3e 30 26 32 35 35 his.h[3]>>>0&255
00021550: 2c 65 5b 74 2b 37 5d 3d 74 68 69 73 2e 68 5b 33 ,e[t+7]=this.h[3
00021560: 5d 3e 3e 3e 38 26 32 35 35 2c 65 5b 74 2b 38 5d ]>>>8&255,e[t+8]
00021570: 3d 74 68 69 73 2e 68 5b 34 5d 3e 3e 3e 30 26 32 =this.h[4]>>>0&2
00021580: 35 35 2c 65 5b 74 2b 39 5d 3d 74 68 69 73 2e 68 55,e[t+9]=this.h
00021590: 5b 34 5d 3e 3e 3e 38 26 32 35 35 2c 65 5b 74 2b [4]>>>8&255,e[t+
000215a0: 31 30 5d 3d 74 68 69 73 2e 68 5b 35 5d 3e 3e 3e 10]=this.h[5]>>>
000215b0: 30 26 32 35 35 2c 65 5b 74 2b 31 31 5d 3d 74 68 0&255,e[t+11]=th
000215c0: 69 73 2e 68 5b 35 5d 3e 3e 3e 38 26 32 35 35 2c is.h[5]>>>8&255,
000215d0: 65 5b 74 2b 31 32 5d 3d 74 68 69 73 2e 68 5b 36 e[t+12]=this.h[6
000215e0: 5d 3e 3e 3e 30 26 32 35 35 2c 65 5b 74 2b 31 33 ]>>>0&255,e[t+13
000215f0: 5d 3d 74 68 69 73 2e 68 5b 36 5d 3e 3e 3e 38 26 ]=this.h[6]>>>8&
00021600: 32 35 35 2c 65 5b 74 2b 31 34 5d 3d 74 68 69 73 255,e[t+14]=this
00021610: 2e 68 5b 37 5d 3e 3e 3e 30 26 32 35 35 2c 65 5b .h[7]>>>0&255,e[
00021620: 74 2b 31 35 5d 3d 74 68 69 73 2e 68 5b 37 5d 3e t+15]=this.h[7]>
00021630: 3e 3e 38 26 32 35 35 7d 2c 78 2e 70 72 6f 74 6f >>8&255},x.proto
00021640: 74 79 70 65 2e 75 70 64 61 74 65 3d 66 75 6e 63 type.update=func
00021650: 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 76 61 72 20 tion(e,t,n){var
00021660: 72 2c 6f 3b 69 66 28 74 68 69 73 2e 6c 65 66 74 r,o;if(this.left
00021670: 6f 76 65 72 29 7b 66 6f 72 28 28 6f 3d 31 36 2d over){for((o=16-
00021680: 74 68 69 73 2e 6c 65 66 74 6f 76 65 72 29 3e 6e this.leftover)>n
00021690: 26 26 28 6f 3d 6e 29 2c 72 3d 30 3b 72 3c 6f 3b &&(o=n),r=0;r<o;
000216a0: 72 2b 2b 29 74 68 69 73 2e 62 75 66 66 65 72 5b r++)this.buffer[
000216b0: 74 68 69 73 2e 6c 65 66 74 6f 76 65 72 2b 72 5d this.leftover+r]
000216c0: 3d 65 5b 74 2b 72 5d 3b 69 66 28 6e 2d 3d 6f 2c =e[t+r];if(n-=o,
000216d0: 74 2b 3d 6f 2c 74 68 69 73 2e 6c 65 66 74 6f 76 t+=o,this.leftov
000216e0: 65 72 2b 3d 6f 2c 74 68 69 73 2e 6c 65 66 74 6f er+=o,this.lefto
000216f0: 76 65 72 3c 31 36 29 72 65 74 75 72 6e 3b 74 68 ver<16)return;th
00021700: 69 73 2e 62 6c 6f 63 6b 73 28 74 68 69 73 2e 62 is.blocks(this.b
00021710: 75 66 66 65 72 2c 30 2c 31 36 29 2c 74 68 69 73 uffer,0,16),this
00021720: 2e 6c 65 66 74 6f 76 65 72 3d 30 7d 69 66 28 6e .leftover=0}if(n
00021730: 3e 3d 31 36 26 26 28 6f 3d 6e 2d 6e 25 31 36 2c >=16&&(o=n-n%16,
00021740: 74 68 69 73 2e 62 6c 6f 63 6b 73 28 65 2c 74 2c this.blocks(e,t,
00021750: 6f 29 2c 74 2b 3d 6f 2c 6e 2d 3d 6f 29 2c 6e 29 o),t+=o,n-=o),n)
00021760: 7b 66 6f 72 28 72 3d 30 3b 72 3c 6e 3b 72 2b 2b {for(r=0;r<n;r++
00021770: 29 74 68 69 73 2e 62 75 66 66 65 72 5b 74 68 69 )this.buffer[thi
00021780: 73 2e 6c 65 66 74 6f 76 65 72 2b 72 5d 3d 65 5b s.leftover+r]=e[
00021790: 74 2b 72 5d 3b 74 68 69 73 2e 6c 65 66 74 6f 76 t+r];this.leftov
000217a0: 65 72 2b 3d 6e 7d 7d 3b 76 61 72 20 59 3d 52 2c er+=n}};var Y=R,
000217b0: 4b 3d 6b 3b 76 61 72 20 5a 3d 5b 31 31 31 36 33 K=k;var Z=[11163
000217c0: 35 32 34 30 38 2c 33 36 30 39 37 36 37 34 35 38 52408,3609767458
000217d0: 2c 31 38 39 39 34 34 37 34 34 31 2c 36 30 32 38 ,1899447441,6028
000217e0: 39 31 37 32 35 2c 33 30 34 39 33 32 33 34 37 31 91725,3049323471
000217f0: 2c 33 39 36 34 34 38 34 33 39 39 2c 33 39 32 31 ,3964484399,3921
00021800: 30 30 39 35 37 33 2c 32 31 37 33 32 39 35 35 34 009573,217329554
00021810: 38 2c 39 36 31 39 38 37 31 36 33 2c 34 30 38 31 8,961987163,4081
00021820: 36 32 38 34 37 32 2c 31 35 30 38 39 37 30 39 39 628472,150897099
00021830: 33 2c 33 30 35 33 38 33 34 32 36 35 2c 32 34 35 3,3053834265,245
00021840: 33 36 33 35 37 34 38 2c 32 39 33 37 36 37 31 35 3635748,29376715
00021850: 37 39 2c 32 38 37 30 37 36 33 32 32 31 2c 33 36 79,2870763221,36
00021860: 36 34 36 30 39 35 36 30 2c 33 36 32 34 33 38 31 64609560,3624381
00021870: 30 38 30 2c 32 37 33 34 38 38 33 33 39 34 2c 33 080,2734883394,3
00021880: 31 30 35 39 38 34 30 31 2c 31 31 36 34 39 39 36 10598401,1164996
00021890: 35 34 32 2c 36 30 37 32 32 35 32 37 38 2c 31 33 542,607225278,13
000218a0: 32 33 36 31 30 37 36 34 2c 31 34 32 36 38 38 31 23610764,1426881
000218b0: 39 38 37 2c 33 35 39 30 33 30 34 39 39 34 2c 31 987,3590304994,1
000218c0: 39 32 35 30 37 38 33 38 38 2c 34 30 36 38 31 38 925078388,406818
000218d0: 32 33 38 33 2c 32 31 36 32 30 37 38 32 30 36 2c 2383,2162078206,
000218e0: 39 39 31 33 33 36 31 31 33 2c 32 36 31 34 38 38 991336113,261488
000218f0: 38 31 30 33 2c 36 33 33 38 30 33 33 31 37 2c 33 8103,633803317,3
00021900: 32 34 38 32 32 32 35 38 30 2c 33 34 37 39 37 37 248222580,347977
00021910: 34 38 36 38 2c 33 38 33 35 33 39 30 34 30 31 2c 4868,3835390401,
00021920: 32 36 36 36 36 31 33 34 35 38 2c 34 30 32 32 32 2666613458,40222
00021930: 32 34 37 37 34 2c 39 34 34 37 31 31 31 33 39 2c 24774,944711139,
00021940: 32 36 34 33 34 37 30 37 38 2c 32 33 34 31 32 36 264347078,234126
00021950: 32 37 37 33 2c 36 30 34 38 30 37 36 32 38 2c 32 2773,604807628,2
00021960: 30 30 37 38 30 30 39 33 33 2c 37 37 30 32 35 35 007800933,770255
00021970: 39 38 33 2c 31 34 39 35 39 39 30 39 30 31 2c 31 983,1495990901,1
00021980: 32 34 39 31 35 30 31 32 32 2c 31 38 35 36 34 33 249150122,185643
00021990: 31 32 33 35 2c 31 35 35 35 30 38 31 36 39 32 2c 1235,1555081692,
000219a0: 33 31 37 35 32 31 38 31 33 32 2c 31 39 39 36 30 3175218132,19960
000219b0: 36 34 39 38 36 2c 32 31 39 38 39 35 30 38 33 37 64986,2198950837
000219c0: 2c 32 35 35 34 32 32 30 38 38 32 2c 33 39 39 39 ,2554220882,3999
000219d0: 37 31 39 33 33 39 2c 32 38 32 31 38 33 34 33 34 719339,282183434
000219e0: 39 2c 37 36 36 37 38 34 30 31 36 2c 32 39 35 32 9,766784016,2952
000219f0: 39 39 36 38 30 38 2c 32 35 36 36 35 39 34 38 37 996808,256659487
00021a00: 39 2c 33 32 31 30 33 31 33 36 37 31 2c 33 32 30 9,3210313671,320
00021a10: 33 33 33 37 39 35 36 2c 33 33 33 36 35 37 31 38 3337956,33365718
00021a20: 39 31 2c 31 30 33 34 34 35 37 30 32 36 2c 33 35 91,1034457026,35
00021a30: 38 34 35 32 38 37 31 31 2c 32 34 36 36 39 34 38 84528711,2466948
00021a40: 39 30 31 2c 31 31 33 39 32 36 39 39 33 2c 33 37 901,113926993,37
00021a50: 35 38 33 32 36 33 38 33 2c 33 33 38 32 34 31 38 58326383,3382418
00021a60: 39 35 2c 31 36 38 37 31 37 39 33 36 2c 36 36 36 95,168717936,666
00021a70: 33 30 37 32 30 35 2c 31 31 38 38 31 37 39 39 36 307205,118817996
00021a80: 34 2c 37 37 33 35 32 39 39 31 32 2c 31 35 34 36 4,773529912,1546
00021a90: 30 34 35 37 33 34 2c 31 32 39 34 37 35 37 33 37 045734,129475737
00021aa0: 32 2c 31 35 32 32 38 30 35 34 38 35 2c 31 33 39 2,1522805485,139
00021ab0: 36 31 38 32 32 39 31 2c 32 36 34 33 38 33 33 38 6182291,26438338
00021ac0: 32 33 2c 31 36 39 35 31 38 33 37 30 30 2c 32 33 23,1695183700,23
00021ad0: 34 33 35 32 37 33 39 30 2c 31 39 38 36 36 36 31 43527390,1986661
00021ae0: 30 35 31 2c 31 30 31 34 34 37 37 34 38 30 2c 32 051,1014477480,2
00021af0: 31 37 37 30 32 36 33 35 30 2c 31 32 30 36 37 35 177026350,120675
00021b00: 39 31 34 32 2c 32 34 35 36 39 35 36 30 33 37 2c 9142,2456956037,
00021b10: 33 34 34 30 37 37 36 32 37 2c 32 37 33 30 34 38 344077627,273048
00021b20: 35 39 32 31 2c 31 32 39 30 38 36 33 34 36 30 2c 5921,1290863460,
00021b30: 32 38 32 30 33 30 32 34 31 31 2c 33 31 35 38 34 2820302411,31584
00021b40: 35 34 32 37 33 2c 33 32 35 39 37 33 30 38 30 30 54273,3259730800
00021b50: 2c 33 35 30 35 39 35 32 36 35 37 2c 33 33 34 35 ,3505952657,3345
00021b60: 37 36 34 37 37 31 2c 31 30 36 32 31 37 30 30 38 764771,106217008
00021b70: 2c 33 35 31 36 30 36 35 38 31 37 2c 33 36 30 36 ,3516065817,3606
00021b80: 30 30 38 33 34 34 2c 33 36 30 30 33 35 32 38 30 008344,360035280
00021b90: 34 2c 31 34 33 32 37 32 35 37 37 36 2c 34 30 39 4,1432725776,409
00021ba0: 34 35 37 31 39 30 39 2c 31 34 36 37 30 33 31 35 4571909,14670315
00021bb0: 39 34 2c 32 37 35 34 32 33 33 34 34 2c 38 35 31 94,275423344,851
00021bc0: 31 36 39 37 32 30 2c 34 33 30 32 32 37 37 33 34 169720,430227734
00021bd0: 2c 33 31 30 30 38 32 33 37 35 32 2c 35 30 36 39 ,3100823752,5069
00021be0: 34 38 36 31 36 2c 31 33 36 33 32 35 38 31 39 35 48616,1363258195
00021bf0: 2c 36 35 39 30 36 30 35 35 36 2c 33 37 35 30 36 ,659060556,37506
00021c00: 38 35 35 39 33 2c 38 38 33 39 39 37 38 37 37 2c 85593,883997877,
00021c10: 33 37 38 35 30 35 30 32 38 30 2c 39 35 38 31 33 3785050280,95813
00021c20: 39 35 37 31 2c 33 33 31 38 33 30 37 34 32 37 2c 9571,3318307427,
00021c30: 31 33 32 32 38 32 32 32 31 38 2c 33 38 31 32 37 1322822218,38127
00021c40: 32 33 34 30 33 2c 31 35 33 37 30 30 32 30 36 33 23403,1537002063
00021c50: 2c 32 30 30 33 30 33 34 39 39 35 2c 31 37 34 37 ,2003034995,1747
00021c60: 38 37 33 37 37 39 2c 33 36 30 32 30 33 36 38 39 873779,360203689
00021c70: 39 2c 31 39 35 35 35 36 32 32 32 32 2c 31 35 37 9,1955562222,157
00021c80: 35 39 39 30 30 31 32 2c 32 30 32 34 31 30 34 38 5990012,20241048
00021c90: 31 35 2c 31 31 32 35 35 39 32 39 32 38 2c 32 32 15,1125592928,22
00021ca0: 32 37 37 33 30 34 35 32 2c 32 37 31 36 39 30 34 27730452,2716904
00021cb0: 33 30 36 2c 32 33 36 31 38 35 32 34 32 34 2c 34 306,2361852424,4
00021cc0: 34 32 37 37 36 30 34 34 2c 32 34 32 38 34 33 36 42776044,2428436
00021cd0: 34 37 34 2c 35 39 33 36 39 38 33 34 34 2c 32 37 474,593698344,27
00021ce0: 35 36 37 33 34 31 38 37 2c 33 37 33 33 31 31 30 56734187,3733110
00021cf0: 32 34 39 2c 33 32 30 34 30 33 31 34 37 39 2c 32 249,3204031479,2
00021d00: 39 39 39 33 35 31 35 37 33 2c 33 33 32 39 33 32 999351573,332932
00021d10: 35 32 39 38 2c 33 38 31 35 39 32 30 34 32 37 2c 5298,3815920427,
00021d20: 33 33 39 31 35 36 39 36 31 34 2c 33 39 32 38 33 3391569614,39283
00021d30: 38 33 39 30 30 2c 33 35 31 35 32 36 37 32 37 31 83900,3515267271
00021d40: 2c 35 36 36 32 38 30 37 31 31 2c 33 39 34 30 31 ,566280711,39401
00021d50: 38 37 36 30 36 2c 33 34 35 34 30 36 39 35 33 34 87606,3454069534
00021d60: 2c 34 31 31 38 36 33 30 32 37 31 2c 34 30 30 30 ,4118630271,4000
00021d70: 32 33 39 39 39 32 2c 31 31 36 34 31 38 34 37 34 239992,116418474
00021d80: 2c 31 39 31 34 31 33 38 35 35 34 2c 31 37 34 32 ,1914138554,1742
00021d90: 39 32 34 32 31 2c 32 37 33 31 30 35 35 32 37 30 92421,2731055270
00021da0: 2c 32 38 39 33 38 30 33 35 36 2c 33 32 30 33 39 ,289380356,32039
00021db0: 39 33 30 30 36 2c 34 36 30 33 39 33 32 36 39 2c 93006,460393269,
00021dc0: 33 32 30 36 32 30 33 31 35 2c 36 38 35 34 37 31 320620315,685471
00021dd0: 37 33 33 2c 35 38 37 34 39 36 38 33 36 2c 38 35 733,587496836,85
00021de0: 32 31 34 32 39 37 31 2c 31 30 38 36 37 39 32 38 2142971,10867928
00021df0: 35 31 2c 31 30 31 37 30 33 36 32 39 38 2c 33 36 51,1017036298,36
00021e00: 35 35 34 33 31 30 30 2c 31 31 32 36 30 30 30 35 5543100,11260005
00021e10: 38 30 2c 32 36 31 38 32 39 37 36 37 36 2c 31 32 80,2618297676,12
00021e20: 38 38 30 33 33 34 37 30 2c 33 34 30 39 38 35 35 88033470,3409855
00021e30: 31 35 38 2c 31 35 30 31 35 30 35 39 34 38 2c 34 158,1501505948,4
00021e40: 32 33 34 35 30 39 38 36 36 2c 31 36 30 37 31 36 234509866,160716
00021e50: 37 39 31 35 2c 39 38 37 31 36 37 34 36 38 2c 31 7915,987167468,1
00021e60: 38 31 36 34 30 32 33 31 36 2c 31 32 34 36 31 38 816402316,124618
00021e70: 39 35 39 31 5d 3b 66 75 6e 63 74 69 6f 6e 20 58 9591];function X
00021e80: 28 65 2c 74 2c 6e 2c 72 29 7b 66 6f 72 28 76 61 (e,t,n,r){for(va
00021e90: 72 20 6f 2c 69 2c 61 2c 73 2c 6c 2c 63 2c 75 2c r o,i,a,s,l,c,u,
00021ea0: 64 2c 70 2c 66 2c 6d 2c 68 2c 67 2c 62 2c 76 2c d,p,f,m,h,g,b,v,
00021eb0: 79 2c 45 2c 5f 2c 53 2c 77 2c 54 2c 78 2c 41 2c y,E,_,S,w,T,x,A,
00021ec0: 43 2c 52 2c 6b 2c 4f 3d 6e 65 77 20 49 6e 74 33 C,R,k,O=new Int3
00021ed0: 32 41 72 72 61 79 28 31 36 29 2c 4e 3d 6e 65 77 2Array(16),N=new
00021ee0: 20 49 6e 74 33 32 41 72 72 61 79 28 31 36 29 2c Int32Array(16),
00021ef0: 49 3d 65 5b 30 5d 2c 4d 3d 65 5b 31 5d 2c 50 3d I=e[0],M=e[1],P=
00021f00: 65 5b 32 5d 2c 44 3d 65 5b 33 5d 2c 4c 3d 65 5b e[2],D=e[3],L=e[
00021f10: 34 5d 2c 46 3d 65 5b 35 5d 2c 55 3d 65 5b 36 5d 4],F=e[5],U=e[6]
00021f20: 2c 42 3d 65 5b 37 5d 2c 6a 3d 74 5b 30 5d 2c 7a ,B=e[7],j=t[0],z
00021f30: 3d 74 5b 31 5d 2c 47 3d 74 5b 32 5d 2c 48 3d 74 =t[1],G=t[2],H=t
00021f40: 5b 33 5d 2c 56 3d 74 5b 34 5d 2c 71 3d 74 5b 35 [3],V=t[4],q=t[5
00021f50: 5d 2c 57 3d 74 5b 36 5d 2c 59 3d 74 5b 37 5d 2c ],W=t[6],Y=t[7],
00021f60: 4b 3d 30 3b 72 3e 3d 31 32 38 3b 29 7b 66 6f 72 K=0;r>=128;){for
00021f70: 28 53 3d 30 3b 53 3c 31 36 3b 53 2b 2b 29 77 3d (S=0;S<16;S++)w=
00021f80: 38 2a 53 2b 4b 2c 4f 5b 53 5d 3d 6e 5b 77 2b 30 8*S+K,O[S]=n[w+0
00021f90: 5d 3c 3c 32 34 7c 6e 5b 77 2b 31 5d 3c 3c 31 36 ]<<24|n[w+1]<<16
00021fa0: 7c 6e 5b 77 2b 32 5d 3c 3c 38 7c 6e 5b 77 2b 33 |n[w+2]<<8|n[w+3
00021fb0: 5d 2c 4e 5b 53 5d 3d 6e 5b 77 2b 34 5d 3c 3c 32 ],N[S]=n[w+4]<<2
00021fc0: 34 7c 6e 5b 77 2b 35 5d 3c 3c 31 36 7c 6e 5b 77 4|n[w+5]<<16|n[w
00021fd0: 2b 36 5d 3c 3c 38 7c 6e 5b 77 2b 37 5d 3b 66 6f +6]<<8|n[w+7];fo
00021fe0: 72 28 53 3d 30 3b 53 3c 38 30 3b 53 2b 2b 29 69 r(S=0;S<80;S++)i
00021ff0: 66 28 6f 3d 49 2c 69 3d 4d 2c 61 3d 50 2c 73 3d f(o=I,i=M,a=P,s=
00022000: 44 2c 6c 3d 4c 2c 63 3d 46 2c 75 3d 55 2c 42 2c D,l=L,c=F,u=U,B,
00022010: 70 3d 6a 2c 66 3d 7a 2c 6d 3d 47 2c 68 3d 48 2c p=j,f=z,m=G,h=H,
00022020: 67 3d 56 2c 62 3d 71 2c 76 3d 57 2c 59 2c 41 3d g=V,b=q,v=W,Y,A=
00022030: 36 35 35 33 35 26 28 78 3d 59 29 2c 43 3d 78 3e 65535&(x=Y),C=x>
00022040: 3e 3e 31 36 2c 52 3d 36 35 35 33 35 26 28 54 3d >>16,R=65535&(T=
00022050: 42 29 2c 6b 3d 54 3e 3e 3e 31 36 2c 41 2b 3d 36 B),k=T>>>16,A+=6
00022060: 35 35 33 35 26 28 78 3d 28 56 3e 3e 3e 31 34 7c 5535&(x=(V>>>14|
00022070: 4c 3c 3c 31 38 29 5e 28 56 3e 3e 3e 31 38 7c 4c L<<18)^(V>>>18|L
00022080: 3c 3c 31 34 29 5e 28 4c 3e 3e 3e 39 7c 56 3c 3c <<14)^(L>>>9|V<<
00022090: 32 33 29 29 2c 43 2b 3d 78 3e 3e 3e 31 36 2c 52 23)),C+=x>>>16,R
000220a0: 2b 3d 36 35 35 33 35 26 28 54 3d 28 4c 3e 3e 3e +=65535&(T=(L>>>
000220b0: 31 34 7c 56 3c 3c 31 38 29 5e 28 4c 3e 3e 3e 31 14|V<<18)^(L>>>1
000220c0: 38 7c 56 3c 3c 31 34 29 5e 28 56 3e 3e 3e 39 7c 8|V<<14)^(V>>>9|
000220d0: 4c 3c 3c 32 33 29 29 2c 6b 2b 3d 54 3e 3e 3e 31 L<<23)),k+=T>>>1
000220e0: 36 2c 41 2b 3d 36 35 35 33 35 26 28 78 3d 56 26 6,A+=65535&(x=V&
000220f0: 71 5e 7e 56 26 57 29 2c 43 2b 3d 78 3e 3e 3e 31 q^~V&W),C+=x>>>1
00022100: 36 2c 52 2b 3d 36 35 35 33 35 26 28 54 3d 4c 26 6,R+=65535&(T=L&
00022110: 46 5e 7e 4c 26 55 29 2c 6b 2b 3d 54 3e 3e 3e 31 F^~L&U),k+=T>>>1
00022120: 36 2c 54 3d 5a 5b 32 2a 53 5d 2c 41 2b 3d 36 35 6,T=Z[2*S],A+=65
00022130: 35 33 35 26 28 78 3d 5a 5b 32 2a 53 2b 31 5d 29 535&(x=Z[2*S+1])
00022140: 2c 43 2b 3d 78 3e 3e 3e 31 36 2c 52 2b 3d 36 35 ,C+=x>>>16,R+=65
00022150: 35 33 35 26 54 2c 6b 2b 3d 54 3e 3e 3e 31 36 2c 535&T,k+=T>>>16,
00022160: 54 3d 4f 5b 53 25 31 36 5d 2c 43 2b 3d 28 78 3d T=O[S%16],C+=(x=
00022170: 4e 5b 53 25 31 36 5d 29 3e 3e 3e 31 36 2c 52 2b N[S%16])>>>16,R+
00022180: 3d 36 35 35 33 35 26 54 2c 6b 2b 3d 54 3e 3e 3e =65535&T,k+=T>>>
00022190: 31 36 2c 52 2b 3d 28 43 2b 3d 28 41 2b 3d 36 35 16,R+=(C+=(A+=65
000221a0: 35 33 35 26 78 29 3e 3e 3e 31 36 29 3e 3e 3e 31 535&x)>>>16)>>>1
000221b0: 36 2c 41 3d 36 35 35 33 35 26 28 78 3d 5f 3d 36 6,A=65535&(x=_=6
000221c0: 35 35 33 35 26 41 7c 43 3c 3c 31 36 29 2c 43 3d 5535&A|C<<16),C=
000221d0: 78 3e 3e 3e 31 36 2c 52 3d 36 35 35 33 35 26 28 x>>>16,R=65535&(
000221e0: 54 3d 45 3d 36 35 35 33 35 26 52 7c 28 6b 2b 3d T=E=65535&R|(k+=
000221f0: 52 3e 3e 3e 31 36 29 3c 3c 31 36 29 2c 6b 3d 54 R>>>16)<<16),k=T
00022200: 3e 3e 3e 31 36 2c 41 2b 3d 36 35 35 33 35 26 28 >>>16,A+=65535&(
00022210: 78 3d 28 6a 3e 3e 3e 32 38 7c 49 3c 3c 34 29 5e x=(j>>>28|I<<4)^
00022220: 28 49 3e 3e 3e 32 7c 6a 3c 3c 33 30 29 5e 28 49 (I>>>2|j<<30)^(I
00022230: 3e 3e 3e 37 7c 6a 3c 3c 32 35 29 29 2c 43 2b 3d >>>7|j<<25)),C+=
00022240: 78 3e 3e 3e 31 36 2c 52 2b 3d 36 35 35 33 35 26 x>>>16,R+=65535&
00022250: 28 54 3d 28 49 3e 3e 3e 32 38 7c 6a 3c 3c 34 29 (T=(I>>>28|j<<4)
00022260: 5e 28 6a 3e 3e 3e 32 7c 49 3c 3c 33 30 29 5e 28 ^(j>>>2|I<<30)^(
00022270: 6a 3e 3e 3e 37 7c 49 3c 3c 32 35 29 29 2c 6b 2b j>>>7|I<<25)),k+
00022280: 3d 54 3e 3e 3e 31 36 2c 43 2b 3d 28 78 3d 6a 26 =T>>>16,C+=(x=j&
00022290: 7a 5e 6a 26 47 5e 7a 26 47 29 3e 3e 3e 31 36 2c z^j&G^z&G)>>>16,
000222a0: 52 2b 3d 36 35 35 33 35 26 28 54 3d 49 26 4d 5e R+=65535&(T=I&M^
000222b0: 49 26 50 5e 4d 26 50 29 2c 6b 2b 3d 54 3e 3e 3e I&P^M&P),k+=T>>>
000222c0: 31 36 2c 64 3d 36 35 35 33 35 26 28 52 2b 3d 28 16,d=65535&(R+=(
000222d0: 43 2b 3d 28 41 2b 3d 36 35 35 33 35 26 78 29 3e C+=(A+=65535&x)>
000222e0: 3e 3e 31 36 29 3e 3e 3e 31 36 29 7c 28 6b 2b 3d >>16)>>>16)|(k+=
000222f0: 52 3e 3e 3e 31 36 29 3c 3c 31 36 2c 79 3d 36 35 R>>>16)<<16,y=65
00022300: 35 33 35 26 41 7c 43 3c 3c 31 36 2c 41 3d 36 35 535&A|C<<16,A=65
00022310: 35 33 35 26 28 78 3d 68 29 2c 43 3d 78 3e 3e 3e 535&(x=h),C=x>>>
00022320: 31 36 2c 52 3d 36 35 35 33 35 26 28 54 3d 73 29 16,R=65535&(T=s)
00022330: 2c 6b 3d 54 3e 3e 3e 31 36 2c 43 2b 3d 28 78 3d ,k=T>>>16,C+=(x=
00022340: 5f 29 3e 3e 3e 31 36 2c 52 2b 3d 36 35 35 33 35 _)>>>16,R+=65535
00022350: 26 28 54 3d 45 29 2c 6b 2b 3d 54 3e 3e 3e 31 36 &(T=E),k+=T>>>16
00022360: 2c 4d 3d 6f 2c 50 3d 69 2c 44 3d 61 2c 4c 3d 73 ,M=o,P=i,D=a,L=s
00022370: 3d 36 35 35 33 35 26 28 52 2b 3d 28 43 2b 3d 28 =65535&(R+=(C+=(
00022380: 41 2b 3d 36 35 35 33 35 26 78 29 3e 3e 3e 31 36 A+=65535&x)>>>16
00022390: 29 3e 3e 3e 31 36 29 7c 28 6b 2b 3d 52 3e 3e 3e )>>>16)|(k+=R>>>
000223a0: 31 36 29 3c 3c 31 36 2c 46 3d 6c 2c 55 3d 63 2c 16)<<16,F=l,U=c,
000223b0: 42 3d 75 2c 49 3d 64 2c 7a 3d 70 2c 47 3d 66 2c B=u,I=d,z=p,G=f,
000223c0: 48 3d 6d 2c 56 3d 68 3d 36 35 35 33 35 26 41 7c H=m,V=h=65535&A|
000223d0: 43 3c 3c 31 36 2c 71 3d 67 2c 57 3d 62 2c 59 3d C<<16,q=g,W=b,Y=
000223e0: 76 2c 6a 3d 79 2c 53 25 31 36 3d 3d 31 35 29 66 v,j=y,S%16==15)f
000223f0: 6f 72 28 77 3d 30 3b 77 3c 31 36 3b 77 2b 2b 29 or(w=0;w<16;w++)
00022400: 54 3d 4f 5b 77 5d 2c 41 3d 36 35 35 33 35 26 28 T=O[w],A=65535&(
00022410: 78 3d 4e 5b 77 5d 29 2c 43 3d 78 3e 3e 3e 31 36 x=N[w]),C=x>>>16
00022420: 2c 52 3d 36 35 35 33 35 26 54 2c 6b 3d 54 3e 3e ,R=65535&T,k=T>>
00022430: 3e 31 36 2c 54 3d 4f 5b 28 77 2b 39 29 25 31 36 >16,T=O[(w+9)%16
00022440: 5d 2c 41 2b 3d 36 35 35 33 35 26 28 78 3d 4e 5b ],A+=65535&(x=N[
00022450: 28 77 2b 39 29 25 31 36 5d 29 2c 43 2b 3d 78 3e (w+9)%16]),C+=x>
00022460: 3e 3e 31 36 2c 52 2b 3d 36 35 35 33 35 26 54 2c >>16,R+=65535&T,
00022470: 6b 2b 3d 54 3e 3e 3e 31 36 2c 45 3d 4f 5b 28 77 k+=T>>>16,E=O[(w
00022480: 2b 31 29 25 31 36 5d 2c 41 2b 3d 36 35 35 33 35 +1)%16],A+=65535
00022490: 26 28 78 3d 28 28 5f 3d 4e 5b 28 77 2b 31 29 25 &(x=((_=N[(w+1)%
000224a0: 31 36 5d 29 3e 3e 3e 31 7c 45 3c 3c 33 31 29 5e 16])>>>1|E<<31)^
000224b0: 28 5f 3e 3e 3e 38 7c 45 3c 3c 32 34 29 5e 28 5f (_>>>8|E<<24)^(_
000224c0: 3e 3e 3e 37 7c 45 3c 3c 32 35 29 29 2c 43 2b 3d >>>7|E<<25)),C+=
000224d0: 78 3e 3e 3e 31 36 2c 52 2b 3d 36 35 35 33 35 26 x>>>16,R+=65535&
000224e0: 28 54 3d 28 45 3e 3e 3e 31 7c 5f 3c 3c 33 31 29 (T=(E>>>1|_<<31)
000224f0: 5e 28 45 3e 3e 3e 38 7c 5f 3c 3c 32 34 29 5e 45 ^(E>>>8|_<<24)^E
00022500: 3e 3e 3e 37 29 2c 6b 2b 3d 54 3e 3e 3e 31 36 2c >>>7),k+=T>>>16,
00022510: 45 3d 4f 5b 28 77 2b 31 34 29 25 31 36 5d 2c 43 E=O[(w+14)%16],C
00022520: 2b 3d 28 78 3d 28 28 5f 3d 4e 5b 28 77 2b 31 34 +=(x=((_=N[(w+14
00022530: 29 25 31 36 5d 29 3e 3e 3e 31 39 7c 45 3c 3c 31 )%16])>>>19|E<<1
00022540: 33 29 5e 28 45 3e 3e 3e 32 39 7c 5f 3c 3c 33 29 3)^(E>>>29|_<<3)
00022550: 5e 28 5f 3e 3e 3e 36 7c 45 3c 3c 32 36 29 29 3e ^(_>>>6|E<<26))>
00022560: 3e 3e 31 36 2c 52 2b 3d 36 35 35 33 35 26 28 54 >>16,R+=65535&(T
00022570: 3d 28 45 3e 3e 3e 31 39 7c 5f 3c 3c 31 33 29 5e =(E>>>19|_<<13)^
00022580: 28 5f 3e 3e 3e 32 39 7c 45 3c 3c 33 29 5e 45 3e (_>>>29|E<<3)^E>
00022590: 3e 3e 36 29 2c 6b 2b 3d 54 3e 3e 3e 31 36 2c 6b >>6),k+=T>>>16,k
000225a0: 2b 3d 28 52 2b 3d 28 43 2b 3d 28 41 2b 3d 36 35 +=(R+=(C+=(A+=65
000225b0: 35 33 35 26 78 29 3e 3e 3e 31 36 29 3e 3e 3e 31 535&x)>>>16)>>>1
000225c0: 36 29 3e 3e 3e 31 36 2c 4f 5b 77 5d 3d 36 35 35 6)>>>16,O[w]=655
000225d0: 33 35 26 52 7c 6b 3c 3c 31 36 2c 4e 5b 77 5d 3d 35&R|k<<16,N[w]=
000225e0: 36 35 35 33 35 26 41 7c 43 3c 3c 31 36 3b 41 3d 65535&A|C<<16;A=
000225f0: 36 35 35 33 35 26 28 78 3d 6a 29 2c 43 3d 78 3e 65535&(x=j),C=x>
00022600: 3e 3e 31 36 2c 52 3d 36 35 35 33 35 26 28 54 3d >>16,R=65535&(T=
00022610: 49 29 2c 6b 3d 54 3e 3e 3e 31 36 2c 54 3d 65 5b I),k=T>>>16,T=e[
00022620: 30 5d 2c 43 2b 3d 28 78 3d 74 5b 30 5d 29 3e 3e 0],C+=(x=t[0])>>
00022630: 3e 31 36 2c 52 2b 3d 36 35 35 33 35 26 54 2c 6b >16,R+=65535&T,k
00022640: 2b 3d 54 3e 3e 3e 31 36 2c 6b 2b 3d 28 52 2b 3d +=T>>>16,k+=(R+=
00022650: 28 43 2b 3d 28 41 2b 3d 36 35 35 33 35 26 78 29 (C+=(A+=65535&x)
00022660: 3e 3e 3e 31 36 29 3e 3e 3e 31 36 29 3e 3e 3e 31 >>>16)>>>16)>>>1
00022670: 36 2c 65 5b 30 5d 3d 49 3d 36 35 35 33 35 26 52 6,e[0]=I=65535&R
00022680: 7c 6b 3c 3c 31 36 2c 74 5b 30 5d 3d 6a 3d 36 35 |k<<16,t[0]=j=65
00022690: 35 33 35 26 41 7c 43 3c 3c 31 36 2c 41 3d 36 35 535&A|C<<16,A=65
000226a0: 35 33 35 26 28 78 3d 7a 29 2c 43 3d 78 3e 3e 3e 535&(x=z),C=x>>>
000226b0: 31 36 2c 52 3d 36 35 35 33 35 26 28 54 3d 4d 29 16,R=65535&(T=M)
000226c0: 2c 6b 3d 54 3e 3e 3e 31 36 2c 54 3d 65 5b 31 5d ,k=T>>>16,T=e[1]
000226d0: 2c 43 2b 3d 28 78 3d 74 5b 31 5d 29 3e 3e 3e 31 ,C+=(x=t[1])>>>1
000226e0: 36 2c 52 2b 3d 36 35 35 33 35 26 54 2c 6b 2b 3d 6,R+=65535&T,k+=
000226f0: 54 3e 3e 3e 31 36 2c 6b 2b 3d 28 52 2b 3d 28 43 T>>>16,k+=(R+=(C
00022700: 2b 3d 28 41 2b 3d 36 35 35 33 35 26 78 29 3e 3e +=(A+=65535&x)>>
00022710: 3e 31 36 29 3e 3e 3e 31 36 29 3e 3e 3e 31 36 2c >16)>>>16)>>>16,
00022720: 65 5b 31 5d 3d 4d 3d 36 35 35 33 35 26 52 7c 6b e[1]=M=65535&R|k
00022730: 3c 3c 31 36 2c 74 5b 31 5d 3d 7a 3d 36 35 35 33 <<16,t[1]=z=6553
00022740: 35 26 41 7c 43 3c 3c 31 36 2c 41 3d 36 35 35 33 5&A|C<<16,A=6553
00022750: 35 26 28 78 3d 47 29 2c 43 3d 78 3e 3e 3e 31 36 5&(x=G),C=x>>>16
00022760: 2c 52 3d 36 35 35 33 35 26 28 54 3d 50 29 2c 6b ,R=65535&(T=P),k
00022770: 3d 54 3e 3e 3e 31 36 2c 54 3d 65 5b 32 5d 2c 43 =T>>>16,T=e[2],C
00022780: 2b 3d 28 78 3d 74 5b 32 5d 29 3e 3e 3e 31 36 2c +=(x=t[2])>>>16,
00022790: 52 2b 3d 36 35 35 33 35 26 54 2c 6b 2b 3d 54 3e R+=65535&T,k+=T>
000227a0: 3e 3e 31 36 2c 6b 2b 3d 28 52 2b 3d 28 43 2b 3d >>16,k+=(R+=(C+=
000227b0: 28 41 2b 3d 36 35 35 33 35 26 78 29 3e 3e 3e 31 (A+=65535&x)>>>1
000227c0: 36 29 3e 3e 3e 31 36 29 3e 3e 3e 31 36 2c 65 5b 6)>>>16)>>>16,e[
000227d0: 32 5d 3d 50 3d 36 35 35 33 35 26 52 7c 6b 3c 3c 2]=P=65535&R|k<<
000227e0: 31 36 2c 74 5b 32 5d 3d 47 3d 36 35 35 33 35 26 16,t[2]=G=65535&
000227f0: 41 7c 43 3c 3c 31 36 2c 41 3d 36 35 35 33 35 26 A|C<<16,A=65535&
00022800: 28 78 3d 48 29 2c 43 3d 78 3e 3e 3e 31 36 2c 52 (x=H),C=x>>>16,R
00022810: 3d 36 35 35 33 35 26 28 54 3d 44 29 2c 6b 3d 54 =65535&(T=D),k=T
00022820: 3e 3e 3e 31 36 2c 54 3d 65 5b 33 5d 2c 43 2b 3d >>>16,T=e[3],C+=
00022830: 28 78 3d 74 5b 33 5d 29 3e 3e 3e 31 36 2c 52 2b (x=t[3])>>>16,R+
00022840: 3d 36 35 35 33 35 26 54 2c 6b 2b 3d 54 3e 3e 3e =65535&T,k+=T>>>
00022850: 31 36 2c 6b 2b 3d 28 52 2b 3d 28 43 2b 3d 28 41 16,k+=(R+=(C+=(A
00022860: 2b 3d 36 35 35 33 35 26 78 29 3e 3e 3e 31 36 29 +=65535&x)>>>16)
00022870: 3e 3e 3e 31 36 29 3e 3e 3e 31 36 2c 65 5b 33 5d >>>16)>>>16,e[3]
00022880: 3d 44 3d 36 35 35 33 35 26 52 7c 6b 3c 3c 31 36 =D=65535&R|k<<16
00022890: 2c 74 5b 33 5d 3d 48 3d 36 35 35 33 35 26 41 7c ,t[3]=H=65535&A|
000228a0: 43 3c 3c 31 36 2c 41 3d 36 35 35 33 35 26 28 78 C<<16,A=65535&(x
000228b0: 3d 56 29 2c 43 3d 78 3e 3e 3e 31 36 2c 52 3d 36 =V),C=x>>>16,R=6
000228c0: 35 35 33 35 26 28 54 3d 4c 29 2c 6b 3d 54 3e 3e 5535&(T=L),k=T>>
000228d0: 3e 31 36 2c 54 3d 65 5b 34 5d 2c 43 2b 3d 28 78 >16,T=e[4],C+=(x
000228e0: 3d 74 5b 34 5d 29 3e 3e 3e 31 36 2c 52 2b 3d 36 =t[4])>>>16,R+=6
000228f0: 35 35 33 35 26 54 2c 6b 2b 3d 54 3e 3e 3e 31 36 5535&T,k+=T>>>16
00022900: 2c 6b 2b 3d 28 52 2b 3d 28 43 2b 3d 28 41 2b 3d ,k+=(R+=(C+=(A+=
00022910: 36 35 35 33 35 26 78 29 3e 3e 3e 31 36 29 3e 3e 65535&x)>>>16)>>
00022920: 3e 31 36 29 3e 3e 3e 31 36 2c 65 5b 34 5d 3d 4c >16)>>>16,e[4]=L
00022930: 3d 36 35 35 33 35 26 52 7c 6b 3c 3c 31 36 2c 74 =65535&R|k<<16,t
00022940: 5b 34 5d 3d 56 3d 36 35 35 33 35 26 41 7c 43 3c [4]=V=65535&A|C<
00022950: 3c 31 36 2c 41 3d 36 35 35 33 35 26 28 78 3d 71 <16,A=65535&(x=q
00022960: 29 2c 43 3d 78 3e 3e 3e 31 36 2c 52 3d 36 35 35 ),C=x>>>16,R=655
00022970: 33 35 26 28 54 3d 46 29 2c 6b 3d 54 3e 3e 3e 31 35&(T=F),k=T>>>1
00022980: 36 2c 54 3d 65 5b 35 5d 2c 43 2b 3d 28 78 3d 74 6,T=e[5],C+=(x=t
00022990: 5b 35 5d 29 3e 3e 3e 31 36 2c 52 2b 3d 36 35 35 [5])>>>16,R+=655
000229a0: 33 35 26 54 2c 6b 2b 3d 54 3e 3e 3e 31 36 2c 6b 35&T,k+=T>>>16,k
000229b0: 2b 3d 28 52 2b 3d 28 43 2b 3d 28 41 2b 3d 36 35 +=(R+=(C+=(A+=65
000229c0: 35 33 35 26 78 29 3e 3e 3e 31 36 29 3e 3e 3e 31 535&x)>>>16)>>>1
000229d0: 36 29 3e 3e 3e 31 36 2c 65 5b 35 5d 3d 46 3d 36 6)>>>16,e[5]=F=6
000229e0: 35 35 33 35 26 52 7c 6b 3c 3c 31 36 2c 74 5b 35 5535&R|k<<16,t[5
000229f0: 5d 3d 71 3d 36 35 35 33 35 26 41 7c 43 3c 3c 31 ]=q=65535&A|C<<1
00022a00: 36 2c 41 3d 36 35 35 33 35 26 28 78 3d 57 29 2c 6,A=65535&(x=W),
00022a10: 43 3d 78 3e 3e 3e 31 36 2c 52 3d 36 35 35 33 35 C=x>>>16,R=65535
00022a20: 26 28 54 3d 55 29 2c 6b 3d 54 3e 3e 3e 31 36 2c &(T=U),k=T>>>16,
00022a30: 54 3d 65 5b 36 5d 2c 43 2b 3d 28 78 3d 74 5b 36 T=e[6],C+=(x=t[6
00022a40: 5d 29 3e 3e 3e 31 36 2c 52 2b 3d 36 35 35 33 35 ])>>>16,R+=65535
00022a50: 26 54 2c 6b 2b 3d 54 3e 3e 3e 31 36 2c 6b 2b 3d &T,k+=T>>>16,k+=
00022a60: 28 52 2b 3d 28 43 2b 3d 28 41 2b 3d 36 35 35 33 (R+=(C+=(A+=6553
00022a70: 35 26 78 29 3e 3e 3e 31 36 29 3e 3e 3e 31 36 29 5&x)>>>16)>>>16)
00022a80: 3e 3e 3e 31 36 2c 65 5b 36 5d 3d 55 3d 36 35 35 >>>16,e[6]=U=655
00022a90: 33 35 26 52 7c 6b 3c 3c 31 36 2c 74 5b 36 5d 3d 35&R|k<<16,t[6]=
00022aa0: 57 3d 36 35 35 33 35 26 41 7c 43 3c 3c 31 36 2c W=65535&A|C<<16,
00022ab0: 41 3d 36 35 35 33 35 26 28 78 3d 59 29 2c 43 3d A=65535&(x=Y),C=
00022ac0: 78 3e 3e 3e 31 36 2c 52 3d 36 35 35 33 35 26 28 x>>>16,R=65535&(
00022ad0: 54 3d 42 29 2c 6b 3d 54 3e 3e 3e 31 36 2c 54 3d T=B),k=T>>>16,T=
00022ae0: 65 5b 37 5d 2c 43 2b 3d 28 78 3d 74 5b 37 5d 29 e[7],C+=(x=t[7])
00022af0: 3e 3e 3e 31 36 2c 52 2b 3d 36 35 35 33 35 26 54 >>>16,R+=65535&T
00022b00: 2c 6b 2b 3d 54 3e 3e 3e 31 36 2c 6b 2b 3d 28 52 ,k+=T>>>16,k+=(R
00022b10: 2b 3d 28 43 2b 3d 28 41 2b 3d 36 35 35 33 35 26 +=(C+=(A+=65535&
00022b20: 78 29 3e 3e 3e 31 36 29 3e 3e 3e 31 36 29 3e 3e x)>>>16)>>>16)>>
00022b30: 3e 31 36 2c 65 5b 37 5d 3d 42 3d 36 35 35 33 35 >16,e[7]=B=65535
00022b40: 26 52 7c 6b 3c 3c 31 36 2c 74 5b 37 5d 3d 59 3d &R|k<<16,t[7]=Y=
00022b50: 36 35 35 33 35 26 41 7c 43 3c 3c 31 36 2c 4b 2b 65535&A|C<<16,K+
00022b60: 3d 31 32 38 2c 72 2d 3d 31 32 38 7d 72 65 74 75 =128,r-=128}retu
00022b70: 72 6e 20 72 7d 66 75 6e 63 74 69 6f 6e 20 51 28 rn r}function Q(
00022b80: 65 2c 74 2c 6e 29 7b 76 61 72 20 72 2c 6f 3d 6e e,t,n){var r,o=n
00022b90: 65 77 20 49 6e 74 33 32 41 72 72 61 79 28 38 29 ew Int32Array(8)
00022ba0: 2c 69 3d 6e 65 77 20 49 6e 74 33 32 41 72 72 61 ,i=new Int32Arra
00022bb0: 79 28 38 29 2c 61 3d 6e 65 77 20 55 69 6e 74 38 y(8),a=new Uint8
00022bc0: 41 72 72 61 79 28 32 35 36 29 2c 73 3d 6e 3b 66 Array(256),s=n;f
00022bd0: 6f 72 28 6f 5b 30 5d 3d 31 37 37 39 30 33 33 37 or(o[0]=17790337
00022be0: 30 33 2c 6f 5b 31 5d 3d 33 31 34 34 31 33 34 32 03,o[1]=31441342
00022bf0: 37 37 2c 6f 5b 32 5d 3d 31 30 31 33 39 30 34 32 77,o[2]=10139042
00022c00: 34 32 2c 6f 5b 33 5d 3d 32 37 37 33 34 38 30 37 42,o[3]=27734807
00022c10: 36 32 2c 6f 5b 34 5d 3d 31 33 35 39 38 39 33 31 62,o[4]=13598931
00022c20: 31 39 2c 6f 5b 35 5d 3d 32 36 30 30 38 32 32 39 19,o[5]=26008229
00022c30: 32 34 2c 6f 5b 36 5d 3d 35 32 38 37 33 34 36 33 24,o[6]=52873463
00022c40: 35 2c 6f 5b 37 5d 3d 31 35 34 31 34 35 39 32 32 5,o[7]=154145922
00022c50: 35 2c 69 5b 30 5d 3d 34 30 38 39 32 33 35 37 32 5,i[0]=408923572
00022c60: 30 2c 69 5b 31 5d 3d 32 32 32 37 38 37 33 35 39 0,i[1]=222787359
00022c70: 35 2c 69 5b 32 5d 3d 34 32 37 31 31 37 35 37 32 5,i[2]=427117572
00022c80: 33 2c 69 5b 33 5d 3d 31 35 39 35 37 35 30 31 32 3,i[3]=159575012
00022c90: 39 2c 69 5b 34 5d 3d 32 39 31 37 35 36 35 31 33 9,i[4]=291756513
00022ca0: 37 2c 69 5b 35 5d 3d 37 32 35 35 31 31 31 39 39 7,i[5]=725511199
00022cb0: 2c 69 5b 36 5d 3d 34 32 31 35 33 38 39 35 34 37 ,i[6]=4215389547
00022cc0: 2c 69 5b 37 5d 3d 33 32 37 30 33 33 32 30 39 2c ,i[7]=327033209,
00022cd0: 58 28 6f 2c 69 2c 74 2c 6e 29 2c 6e 25 3d 31 32 X(o,i,t,n),n%=12
00022ce0: 38 2c 72 3d 30 3b 72 3c 6e 3b 72 2b 2b 29 61 5b 8,r=0;r<n;r++)a[
00022cf0: 72 5d 3d 74 5b 73 2d 6e 2b 72 5d 3b 66 6f 72 28 r]=t[s-n+r];for(
00022d00: 61 5b 6e 5d 3d 31 32 38 2c 61 5b 28 6e 3d 32 35 a[n]=128,a[(n=25
00022d10: 36 2d 31 32 38 2a 28 6e 3c 31 31 32 3f 31 3a 30 6-128*(n<112?1:0
00022d20: 29 29 2d 39 5d 3d 30 2c 6d 28 61 2c 6e 2d 38 2c ))-9]=0,m(a,n-8,
00022d30: 73 2f 35 33 36 38 37 30 39 31 32 7c 30 2c 73 3c s/536870912|0,s<
00022d40: 3c 33 29 2c 58 28 6f 2c 69 2c 61 2c 6e 29 2c 72 <3),X(o,i,a,n),r
00022d50: 3d 30 3b 72 3c 38 3b 72 2b 2b 29 6d 28 65 2c 38 =0;r<8;r++)m(e,8
00022d60: 2a 72 2c 6f 5b 72 5d 2c 69 5b 72 5d 29 3b 72 65 *r,o[r],i[r]);re
00022d70: 74 75 72 6e 20 30 7d 66 75 6e 63 74 69 6f 6e 20 turn 0}function
00022d80: 4a 28 65 2c 6e 29 7b 76 61 72 20 72 3d 74 28 29 J(e,n){var r=t()
00022d90: 2c 6f 3d 74 28 29 2c 69 3d 74 28 29 2c 61 3d 74 ,o=t(),i=t(),a=t
00022da0: 28 29 2c 73 3d 74 28 29 2c 6c 3d 74 28 29 2c 63 (),s=t(),l=t(),c
00022db0: 3d 74 28 29 2c 64 3d 74 28 29 2c 70 3d 74 28 29 =t(),d=t(),p=t()
00022dc0: 3b 55 28 72 2c 65 5b 31 5d 2c 65 5b 30 5d 29 2c ;U(r,e[1],e[0]),
00022dd0: 55 28 70 2c 6e 5b 31 5d 2c 6e 5b 30 5d 29 2c 42 U(p,n[1],n[0]),B
00022de0: 28 72 2c 72 2c 70 29 2c 46 28 6f 2c 65 5b 30 5d (r,r,p),F(o,e[0]
00022df0: 2c 65 5b 31 5d 29 2c 46 28 70 2c 6e 5b 30 5d 2c ,e[1]),F(p,n[0],
00022e00: 6e 5b 31 5d 29 2c 42 28 6f 2c 6f 2c 70 29 2c 42 n[1]),B(o,o,p),B
00022e10: 28 69 2c 65 5b 33 5d 2c 6e 5b 33 5d 29 2c 42 28 (i,e[3],n[3]),B(
00022e20: 69 2c 69 2c 75 29 2c 42 28 61 2c 65 5b 32 5d 2c i,i,u),B(a,e[2],
00022e30: 6e 5b 32 5d 29 2c 46 28 61 2c 61 2c 61 29 2c 55 n[2]),F(a,a,a),U
00022e40: 28 73 2c 6f 2c 72 29 2c 55 28 6c 2c 61 2c 69 29 (s,o,r),U(l,a,i)
00022e50: 2c 46 28 63 2c 61 2c 69 29 2c 46 28 64 2c 6f 2c ,F(c,a,i),F(d,o,
00022e60: 72 29 2c 42 28 65 5b 30 5d 2c 73 2c 6c 29 2c 42 r),B(e[0],s,l),B
00022e70: 28 65 5b 31 5d 2c 64 2c 63 29 2c 42 28 65 5b 32 (e[1],d,c),B(e[2
00022e80: 5d 2c 63 2c 6c 29 2c 42 28 65 5b 33 5d 2c 73 2c ],c,l),B(e[3],s,
00022e90: 64 29 7d 66 75 6e 63 74 69 6f 6e 20 24 28 65 2c d)}function $(e,
00022ea0: 74 2c 6e 29 7b 76 61 72 20 72 3b 66 6f 72 28 72 t,n){var r;for(r
00022eb0: 3d 30 3b 72 3c 34 3b 72 2b 2b 29 49 28 65 5b 72 =0;r<4;r++)I(e[r
00022ec0: 5d 2c 74 5b 72 5d 2c 6e 29 7d 66 75 6e 63 74 69 ],t[r],n)}functi
00022ed0: 6f 6e 20 65 65 28 65 2c 6e 29 7b 76 61 72 20 72 on ee(e,n){var r
00022ee0: 3d 74 28 29 2c 6f 3d 74 28 29 2c 69 3d 74 28 29 =t(),o=t(),i=t()
00022ef0: 3b 7a 28 69 2c 6e 5b 32 5d 29 2c 42 28 72 2c 6e ;z(i,n[2]),B(r,n
00022f00: 5b 30 5d 2c 69 29 2c 42 28 6f 2c 6e 5b 31 5d 2c [0],i),B(o,n[1],
00022f10: 69 29 2c 4d 28 65 2c 6f 29 2c 65 5b 33 31 5d 5e i),M(e,o),e[31]^
00022f20: 3d 44 28 72 29 3c 3c 37 7d 66 75 6e 63 74 69 6f =D(r)<<7}functio
00022f30: 6e 20 74 65 28 65 2c 74 2c 6e 29 7b 76 61 72 20 n te(e,t,n){var
00022f40: 72 2c 6f 3b 66 6f 72 28 4f 28 65 5b 30 5d 2c 61 r,o;for(O(e[0],a
00022f50: 29 2c 4f 28 65 5b 31 5d 2c 73 29 2c 4f 28 65 5b ),O(e[1],s),O(e[
00022f60: 32 5d 2c 73 29 2c 4f 28 65 5b 33 5d 2c 61 29 2c 2],s),O(e[3],a),
00022f70: 6f 3d 32 35 35 3b 6f 3e 3d 30 3b 2d 2d 6f 29 24 o=255;o>=0;--o)$
00022f80: 28 65 2c 74 2c 72 3d 6e 5b 6f 2f 38 7c 30 5d 3e (e,t,r=n[o/8|0]>
00022f90: 3e 28 37 26 6f 29 26 31 29 2c 4a 28 74 2c 65 29 >(7&o)&1),J(t,e)
00022fa0: 2c 4a 28 65 2c 65 29 2c 24 28 65 2c 74 2c 72 29 ,J(e,e),$(e,t,r)
00022fb0: 7d 66 75 6e 63 74 69 6f 6e 20 6e 65 28 65 2c 6e }function ne(e,n
00022fc0: 29 7b 76 61 72 20 72 3d 5b 74 28 29 2c 74 28 29 ){var r=[t(),t()
00022fd0: 2c 74 28 29 2c 74 28 29 5d 3b 4f 28 72 5b 30 5d ,t(),t()];O(r[0]
00022fe0: 2c 64 29 2c 4f 28 72 5b 31 5d 2c 70 29 2c 4f 28 ,d),O(r[1],p),O(
00022ff0: 72 5b 32 5d 2c 73 29 2c 42 28 72 5b 33 5d 2c 64 r[2],s),B(r[3],d
00023000: 2c 70 29 2c 74 65 28 65 2c 72 2c 6e 29 7d 66 75 ,p),te(e,r,n)}fu
00023010: 6e 63 74 69 6f 6e 20 72 65 28 65 2c 6e 2c 6f 29 nction re(e,n,o)
00023020: 7b 76 61 72 20 69 2c 61 3d 6e 65 77 20 55 69 6e {var i,a=new Uin
00023030: 74 38 41 72 72 61 79 28 36 34 29 2c 73 3d 5b 74 t8Array(64),s=[t
00023040: 28 29 2c 74 28 29 2c 74 28 29 2c 74 28 29 5d 3b (),t(),t(),t()];
00023050: 66 6f 72 28 6f 7c 7c 72 28 6e 2c 33 32 29 2c 51 for(o||r(n,32),Q
00023060: 28 61 2c 6e 2c 33 32 29 2c 61 5b 30 5d 26 3d 32 (a,n,32),a[0]&=2
00023070: 34 38 2c 61 5b 33 31 5d 26 3d 31 32 37 2c 61 5b 48,a[31]&=127,a[
00023080: 33 31 5d 7c 3d 36 34 2c 6e 65 28 73 2c 61 29 2c 31]|=64,ne(s,a),
00023090: 65 65 28 65 2c 73 29 2c 69 3d 30 3b 69 3c 33 32 ee(e,s),i=0;i<32
000230a0: 3b 69 2b 2b 29 6e 5b 69 2b 33 32 5d 3d 65 5b 69 ;i++)n[i+32]=e[i
000230b0: 5d 3b 72 65 74 75 72 6e 20 30 7d 76 61 72 20 6f ];return 0}var o
000230c0: 65 3d 6e 65 77 20 46 6c 6f 61 74 36 34 41 72 72 e=new Float64Arr
000230d0: 61 79 28 5b 32 33 37 2c 32 31 31 2c 32 34 35 2c ay([237,211,245,
000230e0: 39 32 2c 32 36 2c 39 39 2c 31 38 2c 38 38 2c 32 92,26,99,18,88,2
000230f0: 31 34 2c 31 35 36 2c 32 34 37 2c 31 36 32 2c 32 14,156,247,162,2
00023100: 32 32 2c 32 34 39 2c 32 32 32 2c 32 30 2c 30 2c 22,249,222,20,0,
00023110: 30 2c 30 2c 30 2c 30 2c 30 2c 30 2c 30 2c 30 2c 0,0,0,0,0,0,0,0,
00023120: 30 2c 30 2c 30 2c 30 2c 30 2c 30 2c 31 36 5d 29 0,0,0,0,0,0,16])
00023130: 3b 66 75 6e 63 74 69 6f 6e 20 69 65 28 65 2c 74 ;function ie(e,t
00023140: 29 7b 76 61 72 20 6e 2c 72 2c 6f 2c 69 3b 66 6f ){var n,r,o,i;fo
00023150: 72 28 72 3d 36 33 3b 72 3e 3d 33 32 3b 2d 2d 72 r(r=63;r>=32;--r
00023160: 29 7b 66 6f 72 28 6e 3d 30 2c 6f 3d 72 2d 33 32 ){for(n=0,o=r-32
00023170: 2c 69 3d 72 2d 31 32 3b 6f 3c 69 3b 2b 2b 6f 29 ,i=r-12;o<i;++o)
00023180: 74 5b 6f 5d 2b 3d 6e 2d 31 36 2a 74 5b 72 5d 2a t[o]+=n-16*t[r]*
00023190: 6f 65 5b 6f 2d 28 72 2d 33 32 29 5d 2c 6e 3d 4d oe[o-(r-32)],n=M
000231a0: 61 74 68 2e 66 6c 6f 6f 72 28 28 74 5b 6f 5d 2b ath.floor((t[o]+
000231b0: 31 32 38 29 2f 32 35 36 29 2c 74 5b 6f 5d 2d 3d 128)/256),t[o]-=
000231c0: 32 35 36 2a 6e 3b 74 5b 6f 5d 2b 3d 6e 2c 74 5b 256*n;t[o]+=n,t[
000231d0: 72 5d 3d 30 7d 66 6f 72 28 6e 3d 30 2c 6f 3d 30 r]=0}for(n=0,o=0
000231e0: 3b 6f 3c 33 32 3b 6f 2b 2b 29 74 5b 6f 5d 2b 3d ;o<32;o++)t[o]+=
000231f0: 6e 2d 28 74 5b 33 31 5d 3e 3e 34 29 2a 6f 65 5b n-(t[31]>>4)*oe[
00023200: 6f 5d 2c 6e 3d 74 5b 6f 5d 3e 3e 38 2c 74 5b 6f o],n=t[o]>>8,t[o
00023210: 5d 26 3d 32 35 35 3b 66 6f 72 28 6f 3d 30 3b 6f ]&=255;for(o=0;o
00023220: 3c 33 32 3b 6f 2b 2b 29 74 5b 6f 5d 2d 3d 6e 2a <32;o++)t[o]-=n*
00023230: 6f 65 5b 6f 5d 3b 66 6f 72 28 72 3d 30 3b 72 3c oe[o];for(r=0;r<
00023240: 33 32 3b 72 2b 2b 29 74 5b 72 2b 31 5d 2b 3d 74 32;r++)t[r+1]+=t
00023250: 5b 72 5d 3e 3e 38 2c 65 5b 72 5d 3d 32 35 35 26 [r]>>8,e[r]=255&
00023260: 74 5b 72 5d 7d 66 75 6e 63 74 69 6f 6e 20 61 65 t[r]}function ae
00023270: 28 65 29 7b 76 61 72 20 74 2c 6e 3d 6e 65 77 20 (e){var t,n=new
00023280: 46 6c 6f 61 74 36 34 41 72 72 61 79 28 36 34 29 Float64Array(64)
00023290: 3b 66 6f 72 28 74 3d 30 3b 74 3c 36 34 3b 74 2b ;for(t=0;t<64;t+
000232a0: 2b 29 6e 5b 74 5d 3d 65 5b 74 5d 3b 66 6f 72 28 +)n[t]=e[t];for(
000232b0: 74 3d 30 3b 74 3c 36 34 3b 74 2b 2b 29 65 5b 74 t=0;t<64;t++)e[t
000232c0: 5d 3d 30 3b 69 65 28 65 2c 6e 29 7d 66 75 6e 63 ]=0;ie(e,n)}func
000232d0: 74 69 6f 6e 20 73 65 28 65 2c 6e 2c 72 2c 6f 29 tion se(e,n,r,o)
000232e0: 7b 76 61 72 20 69 2c 61 2c 73 3d 6e 65 77 20 55 {var i,a,s=new U
000232f0: 69 6e 74 38 41 72 72 61 79 28 36 34 29 2c 6c 3d int8Array(64),l=
00023300: 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 36 new Uint8Array(6
00023310: 34 29 2c 63 3d 6e 65 77 20 55 69 6e 74 38 41 72 4),c=new Uint8Ar
00023320: 72 61 79 28 36 34 29 2c 75 3d 6e 65 77 20 46 6c ray(64),u=new Fl
00023330: 6f 61 74 36 34 41 72 72 61 79 28 36 34 29 2c 64 oat64Array(64),d
00023340: 3d 5b 74 28 29 2c 74 28 29 2c 74 28 29 2c 74 28 =[t(),t(),t(),t(
00023350: 29 5d 3b 51 28 73 2c 6f 2c 33 32 29 2c 73 5b 30 )];Q(s,o,32),s[0
00023360: 5d 26 3d 32 34 38 2c 73 5b 33 31 5d 26 3d 31 32 ]&=248,s[31]&=12
00023370: 37 2c 73 5b 33 31 5d 7c 3d 36 34 3b 76 61 72 20 7,s[31]|=64;var
00023380: 70 3d 72 2b 36 34 3b 66 6f 72 28 69 3d 30 3b 69 p=r+64;for(i=0;i
00023390: 3c 72 3b 69 2b 2b 29 65 5b 36 34 2b 69 5d 3d 6e <r;i++)e[64+i]=n
000233a0: 5b 69 5d 3b 66 6f 72 28 69 3d 30 3b 69 3c 33 32 [i];for(i=0;i<32
000233b0: 3b 69 2b 2b 29 65 5b 33 32 2b 69 5d 3d 73 5b 33 ;i++)e[32+i]=s[3
000233c0: 32 2b 69 5d 3b 66 6f 72 28 51 28 63 2c 65 2e 73 2+i];for(Q(c,e.s
000233d0: 75 62 61 72 72 61 79 28 33 32 29 2c 72 2b 33 32 ubarray(32),r+32
000233e0: 29 2c 61 65 28 63 29 2c 6e 65 28 64 2c 63 29 2c ),ae(c),ne(d,c),
000233f0: 65 65 28 65 2c 64 29 2c 69 3d 33 32 3b 69 3c 36 ee(e,d),i=32;i<6
00023400: 34 3b 69 2b 2b 29 65 5b 69 5d 3d 6f 5b 69 5d 3b 4;i++)e[i]=o[i];
00023410: 66 6f 72 28 51 28 6c 2c 65 2c 72 2b 36 34 29 2c for(Q(l,e,r+64),
00023420: 61 65 28 6c 29 2c 69 3d 30 3b 69 3c 36 34 3b 69 ae(l),i=0;i<64;i
00023430: 2b 2b 29 75 5b 69 5d 3d 30 3b 66 6f 72 28 69 3d ++)u[i]=0;for(i=
00023440: 30 3b 69 3c 33 32 3b 69 2b 2b 29 75 5b 69 5d 3d 0;i<32;i++)u[i]=
00023450: 63 5b 69 5d 3b 66 6f 72 28 69 3d 30 3b 69 3c 33 c[i];for(i=0;i<3
00023460: 32 3b 69 2b 2b 29 66 6f 72 28 61 3d 30 3b 61 3c 2;i++)for(a=0;a<
00023470: 33 32 3b 61 2b 2b 29 75 5b 69 2b 61 5d 2b 3d 6c 32;a++)u[i+a]+=l
00023480: 5b 69 5d 2a 73 5b 61 5d 3b 72 65 74 75 72 6e 20 [i]*s[a];return
00023490: 69 65 28 65 2e 73 75 62 61 72 72 61 79 28 33 32 ie(e.subarray(32
000234a0: 29 2c 75 29 2c 70 7d 66 75 6e 63 74 69 6f 6e 20 ),u),p}function
000234b0: 6c 65 28 65 2c 6e 2c 72 2c 6f 29 7b 76 61 72 20 le(e,n,r,o){var
000234c0: 69 2c 6c 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 i,l=new Uint8Arr
000234d0: 61 79 28 33 32 29 2c 75 3d 6e 65 77 20 55 69 6e ay(32),u=new Uin
000234e0: 74 38 41 72 72 61 79 28 36 34 29 2c 64 3d 5b 74 t8Array(64),d=[t
000234f0: 28 29 2c 74 28 29 2c 74 28 29 2c 74 28 29 5d 2c (),t(),t(),t()],
00023500: 70 3d 5b 74 28 29 2c 74 28 29 2c 74 28 29 2c 74 p=[t(),t(),t(),t
00023510: 28 29 5d 3b 69 66 28 72 3c 36 34 29 72 65 74 75 ()];if(r<64)retu
00023520: 72 6e 2d 31 3b 69 66 28 66 75 6e 63 74 69 6f 6e rn-1;if(function
00023530: 28 65 2c 6e 29 7b 76 61 72 20 72 3d 74 28 29 2c (e,n){var r=t(),
00023540: 6f 3d 74 28 29 2c 69 3d 74 28 29 2c 6c 3d 74 28 o=t(),i=t(),l=t(
00023550: 29 2c 75 3d 74 28 29 2c 64 3d 74 28 29 2c 70 3d ),u=t(),d=t(),p=
00023560: 74 28 29 3b 72 65 74 75 72 6e 20 4f 28 65 5b 32 t();return O(e[2
00023570: 5d 2c 73 29 2c 4c 28 65 5b 31 5d 2c 6e 29 2c 6a ],s),L(e[1],n),j
00023580: 28 69 2c 65 5b 31 5d 29 2c 42 28 6c 2c 69 2c 63 (i,e[1]),B(l,i,c
00023590: 29 2c 55 28 69 2c 69 2c 65 5b 32 5d 29 2c 46 28 ),U(i,i,e[2]),F(
000235a0: 6c 2c 65 5b 32 5d 2c 6c 29 2c 6a 28 75 2c 6c 29 l,e[2],l),j(u,l)
000235b0: 2c 6a 28 64 2c 75 29 2c 42 28 70 2c 64 2c 75 29 ,j(d,u),B(p,d,u)
000235c0: 2c 42 28 72 2c 70 2c 69 29 2c 42 28 72 2c 72 2c ,B(r,p,i),B(r,r,
000235d0: 6c 29 2c 47 28 72 2c 72 29 2c 42 28 72 2c 72 2c l),G(r,r),B(r,r,
000235e0: 69 29 2c 42 28 72 2c 72 2c 6c 29 2c 42 28 72 2c i),B(r,r,l),B(r,
000235f0: 72 2c 6c 29 2c 42 28 65 5b 30 5d 2c 72 2c 6c 29 r,l),B(e[0],r,l)
00023600: 2c 6a 28 6f 2c 65 5b 30 5d 29 2c 42 28 6f 2c 6f ,j(o,e[0]),B(o,o
00023610: 2c 6c 29 2c 50 28 6f 2c 69 29 26 26 42 28 65 5b ,l),P(o,i)&&B(e[
00023620: 30 5d 2c 65 5b 30 5d 2c 66 29 2c 6a 28 6f 2c 65 0],e[0],f),j(o,e
00023630: 5b 30 5d 29 2c 42 28 6f 2c 6f 2c 6c 29 2c 50 28 [0]),B(o,o,l),P(
00023640: 6f 2c 69 29 3f 2d 31 3a 28 44 28 65 5b 30 5d 29 o,i)?-1:(D(e[0])
00023650: 3d 3d 3d 6e 5b 33 31 5d 3e 3e 37 26 26 55 28 65 ===n[31]>>7&&U(e
00023660: 5b 30 5d 2c 61 2c 65 5b 30 5d 29 2c 42 28 65 5b [0],a,e[0]),B(e[
00023670: 33 5d 2c 65 5b 30 5d 2c 65 5b 31 5d 29 2c 30 29 3],e[0],e[1]),0)
00023680: 7d 28 70 2c 6f 29 29 72 65 74 75 72 6e 2d 31 3b }(p,o))return-1;
00023690: 66 6f 72 28 69 3d 30 3b 69 3c 72 3b 69 2b 2b 29 for(i=0;i<r;i++)
000236a0: 65 5b 69 5d 3d 6e 5b 69 5d 3b 66 6f 72 28 69 3d e[i]=n[i];for(i=
000236b0: 30 3b 69 3c 33 32 3b 69 2b 2b 29 65 5b 69 2b 33 0;i<32;i++)e[i+3
000236c0: 32 5d 3d 6f 5b 69 5d 3b 69 66 28 51 28 75 2c 65 2]=o[i];if(Q(u,e
000236d0: 2c 72 29 2c 61 65 28 75 29 2c 74 65 28 64 2c 70 ,r),ae(u),te(d,p
000236e0: 2c 75 29 2c 6e 65 28 70 2c 6e 2e 73 75 62 61 72 ,u),ne(p,n.subar
000236f0: 72 61 79 28 33 32 29 29 2c 4a 28 64 2c 70 29 2c ray(32)),J(d,p),
00023700: 65 65 28 6c 2c 64 29 2c 72 2d 3d 36 34 2c 62 28 ee(l,d),r-=64,b(
00023710: 6e 2c 30 2c 6c 2c 30 29 29 7b 66 6f 72 28 69 3d n,0,l,0)){for(i=
00023720: 30 3b 69 3c 72 3b 69 2b 2b 29 65 5b 69 5d 3d 30 0;i<r;i++)e[i]=0
00023730: 3b 72 65 74 75 72 6e 2d 31 7d 66 6f 72 28 69 3d ;return-1}for(i=
00023740: 30 3b 69 3c 72 3b 69 2b 2b 29 65 5b 69 5d 3d 6e 0;i<r;i++)e[i]=n
00023750: 5b 69 2b 36 34 5d 3b 72 65 74 75 72 6e 20 72 7d [i+64];return r}
00023760: 76 61 72 20 63 65 3d 33 32 2c 75 65 3d 32 34 2c var ce=32,ue=24,
00023770: 64 65 3d 31 36 2c 70 65 3d 33 32 2c 66 65 3d 33 de=16,pe=32,fe=3
00023780: 32 2c 6d 65 3d 75 65 2c 68 65 3d 36 34 2c 67 65 2,me=ue,he=64,ge
00023790: 3d 33 32 2c 62 65 3d 36 34 3b 66 75 6e 63 74 69 =32,be=64;functi
000237a0: 6f 6e 20 76 65 28 65 2c 74 29 7b 69 66 28 65 2e on ve(e,t){if(e.
000237b0: 6c 65 6e 67 74 68 21 3d 3d 63 65 29 74 68 72 6f length!==ce)thro
000237c0: 77 20 6e 65 77 20 45 72 72 6f 72 28 22 62 61 64 w new Error("bad
000237d0: 20 6b 65 79 20 73 69 7a 65 22 29 3b 69 66 28 74 key size");if(t
000237e0: 2e 6c 65 6e 67 74 68 21 3d 3d 75 65 29 74 68 72 .length!==ue)thr
000237f0: 6f 77 20 6e 65 77 20 45 72 72 6f 72 28 22 62 61 ow new Error("ba
00023800: 64 20 6e 6f 6e 63 65 20 73 69 7a 65 22 29 7d 66 d nonce size")}f
00023810: 75 6e 63 74 69 6f 6e 20 79 65 28 29 7b 66 6f 72 unction ye(){for
00023820: 28 76 61 72 20 65 3d 30 3b 65 3c 61 72 67 75 6d (var e=0;e<argum
00023830: 65 6e 74 73 2e 6c 65 6e 67 74 68 3b 65 2b 2b 29 ents.length;e++)
00023840: 69 66 28 21 28 61 72 67 75 6d 65 6e 74 73 5b 65 if(!(arguments[e
00023850: 5d 69 6e 73 74 61 6e 63 65 6f 66 20 55 69 6e 74 ]instanceof Uint
00023860: 38 41 72 72 61 79 29 29 74 68 72 6f 77 20 6e 65 8Array))throw ne
00023870: 77 20 54 79 70 65 45 72 72 6f 72 28 22 75 6e 65 w TypeError("une
00023880: 78 70 65 63 74 65 64 20 74 79 70 65 2c 20 75 73 xpected type, us
00023890: 65 20 55 69 6e 74 38 41 72 72 61 79 22 29 7d 66 e Uint8Array")}f
000238a0: 75 6e 63 74 69 6f 6e 20 45 65 28 65 29 7b 66 6f unction Ee(e){fo
000238b0: 72 28 76 61 72 20 74 3d 30 3b 74 3c 65 2e 6c 65 r(var t=0;t<e.le
000238c0: 6e 67 74 68 3b 74 2b 2b 29 65 5b 74 5d 3d 30 7d ngth;t++)e[t]=0}
000238d0: 65 2e 6c 6f 77 6c 65 76 65 6c 3d 7b 63 72 79 70 e.lowlevel={cryp
000238e0: 74 6f 5f 63 6f 72 65 5f 68 73 61 6c 73 61 32 30 to_core_hsalsa20
000238f0: 3a 79 2c 63 72 79 70 74 6f 5f 73 74 72 65 61 6d :y,crypto_stream
00023900: 5f 78 6f 72 3a 54 2c 63 72 79 70 74 6f 5f 73 74 _xor:T,crypto_st
00023910: 72 65 61 6d 3a 77 2c 63 72 79 70 74 6f 5f 73 74 ream:w,crypto_st
00023920: 72 65 61 6d 5f 73 61 6c 73 61 32 30 5f 78 6f 72 ream_salsa20_xor
00023930: 3a 5f 2c 63 72 79 70 74 6f 5f 73 74 72 65 61 6d :_,crypto_stream
00023940: 5f 73 61 6c 73 61 32 30 3a 53 2c 63 72 79 70 74 _salsa20:S,crypt
00023950: 6f 5f 6f 6e 65 74 69 6d 65 61 75 74 68 3a 41 2c o_onetimeauth:A,
00023960: 63 72 79 70 74 6f 5f 6f 6e 65 74 69 6d 65 61 75 crypto_onetimeau
00023970: 74 68 5f 76 65 72 69 66 79 3a 43 2c 63 72 79 70 th_verify:C,cryp
00023980: 74 6f 5f 76 65 72 69 66 79 5f 31 36 3a 67 2c 63 to_verify_16:g,c
00023990: 72 79 70 74 6f 5f 76 65 72 69 66 79 5f 33 32 3a rypto_verify_32:
000239a0: 62 2c 63 72 79 70 74 6f 5f 73 65 63 72 65 74 62 b,crypto_secretb
000239b0: 6f 78 3a 52 2c 63 72 79 70 74 6f 5f 73 65 63 72 ox:R,crypto_secr
000239c0: 65 74 62 6f 78 5f 6f 70 65 6e 3a 6b 2c 63 72 79 etbox_open:k,cry
000239d0: 70 74 6f 5f 73 63 61 6c 61 72 6d 75 6c 74 3a 48 pto_scalarmult:H
000239e0: 2c 63 72 79 70 74 6f 5f 73 63 61 6c 61 72 6d 75 ,crypto_scalarmu
000239f0: 6c 74 5f 62 61 73 65 3a 56 2c 63 72 79 70 74 6f lt_base:V,crypto
00023a00: 5f 62 6f 78 5f 62 65 66 6f 72 65 6e 6d 3a 57 2c _box_beforenm:W,
00023a10: 63 72 79 70 74 6f 5f 62 6f 78 5f 61 66 74 65 72 crypto_box_after
00023a20: 6e 6d 3a 59 2c 63 72 79 70 74 6f 5f 62 6f 78 3a nm:Y,crypto_box:
00023a30: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 2c 72 function(e,t,n,r
00023a40: 2c 6f 2c 69 29 7b 76 61 72 20 61 3d 6e 65 77 20 ,o,i){var a=new
00023a50: 55 69 6e 74 38 41 72 72 61 79 28 33 32 29 3b 72 Uint8Array(32);r
00023a60: 65 74 75 72 6e 20 57 28 61 2c 6f 2c 69 29 2c 59 eturn W(a,o,i),Y
00023a70: 28 65 2c 74 2c 6e 2c 72 2c 61 29 7d 2c 63 72 79 (e,t,n,r,a)},cry
00023a80: 70 74 6f 5f 62 6f 78 5f 6f 70 65 6e 3a 66 75 6e pto_box_open:fun
00023a90: 63 74 69 6f 6e 28 65 2c 74 2c 6e 2c 72 2c 6f 2c ction(e,t,n,r,o,
00023aa0: 69 29 7b 76 61 72 20 61 3d 6e 65 77 20 55 69 6e i){var a=new Uin
00023ab0: 74 38 41 72 72 61 79 28 33 32 29 3b 72 65 74 75 t8Array(32);retu
00023ac0: 72 6e 20 57 28 61 2c 6f 2c 69 29 2c 4b 28 65 2c rn W(a,o,i),K(e,
00023ad0: 74 2c 6e 2c 72 2c 61 29 7d 2c 63 72 79 70 74 6f t,n,r,a)},crypto
00023ae0: 5f 62 6f 78 5f 6b 65 79 70 61 69 72 3a 71 2c 63 _box_keypair:q,c
00023af0: 72 79 70 74 6f 5f 68 61 73 68 3a 51 2c 63 72 79 rypto_hash:Q,cry
00023b00: 70 74 6f 5f 73 69 67 6e 3a 73 65 2c 63 72 79 70 pto_sign:se,cryp
00023b10: 74 6f 5f 73 69 67 6e 5f 6b 65 79 70 61 69 72 3a to_sign_keypair:
00023b20: 72 65 2c 63 72 79 70 74 6f 5f 73 69 67 6e 5f 6f re,crypto_sign_o
00023b30: 70 65 6e 3a 6c 65 2c 63 72 79 70 74 6f 5f 73 65 pen:le,crypto_se
00023b40: 63 72 65 74 62 6f 78 5f 4b 45 59 42 59 54 45 53 cretbox_KEYBYTES
00023b50: 3a 63 65 2c 63 72 79 70 74 6f 5f 73 65 63 72 65 :ce,crypto_secre
00023b60: 74 62 6f 78 5f 4e 4f 4e 43 45 42 59 54 45 53 3a tbox_NONCEBYTES:
00023b70: 75 65 2c 63 72 79 70 74 6f 5f 73 65 63 72 65 74 ue,crypto_secret
00023b80: 62 6f 78 5f 5a 45 52 4f 42 59 54 45 53 3a 33 32 box_ZEROBYTES:32
00023b90: 2c 63 72 79 70 74 6f 5f 73 65 63 72 65 74 62 6f ,crypto_secretbo
00023ba0: 78 5f 42 4f 58 5a 45 52 4f 42 59 54 45 53 3a 64 x_BOXZEROBYTES:d
00023bb0: 65 2c 63 72 79 70 74 6f 5f 73 63 61 6c 61 72 6d e,crypto_scalarm
00023bc0: 75 6c 74 5f 42 59 54 45 53 3a 33 32 2c 63 72 79 ult_BYTES:32,cry
00023bd0: 70 74 6f 5f 73 63 61 6c 61 72 6d 75 6c 74 5f 53 pto_scalarmult_S
00023be0: 43 41 4c 41 52 42 59 54 45 53 3a 33 32 2c 63 72 CALARBYTES:32,cr
00023bf0: 79 70 74 6f 5f 62 6f 78 5f 50 55 42 4c 49 43 4b ypto_box_PUBLICK
00023c00: 45 59 42 59 54 45 53 3a 70 65 2c 63 72 79 70 74 EYBYTES:pe,crypt
00023c10: 6f 5f 62 6f 78 5f 53 45 43 52 45 54 4b 45 59 42 o_box_SECRETKEYB
00023c20: 59 54 45 53 3a 66 65 2c 63 72 79 70 74 6f 5f 62 YTES:fe,crypto_b
00023c30: 6f 78 5f 42 45 46 4f 52 45 4e 4d 42 59 54 45 53 ox_BEFORENMBYTES
00023c40: 3a 33 32 2c 63 72 79 70 74 6f 5f 62 6f 78 5f 4e :32,crypto_box_N
00023c50: 4f 4e 43 45 42 59 54 45 53 3a 6d 65 2c 63 72 79 ONCEBYTES:me,cry
00023c60: 70 74 6f 5f 62 6f 78 5f 5a 45 52 4f 42 59 54 45 pto_box_ZEROBYTE
00023c70: 53 3a 33 32 2c 63 72 79 70 74 6f 5f 62 6f 78 5f S:32,crypto_box_
00023c80: 42 4f 58 5a 45 52 4f 42 59 54 45 53 3a 31 36 2c BOXZEROBYTES:16,
00023c90: 63 72 79 70 74 6f 5f 73 69 67 6e 5f 42 59 54 45 crypto_sign_BYTE
00023ca0: 53 3a 68 65 2c 63 72 79 70 74 6f 5f 73 69 67 6e S:he,crypto_sign
00023cb0: 5f 50 55 42 4c 49 43 4b 45 59 42 59 54 45 53 3a _PUBLICKEYBYTES:
00023cc0: 67 65 2c 63 72 79 70 74 6f 5f 73 69 67 6e 5f 53 ge,crypto_sign_S
00023cd0: 45 43 52 45 54 4b 45 59 42 59 54 45 53 3a 62 65 ECRETKEYBYTES:be
00023ce0: 2c 63 72 79 70 74 6f 5f 73 69 67 6e 5f 53 45 45 ,crypto_sign_SEE
00023cf0: 44 42 59 54 45 53 3a 33 32 2c 63 72 79 70 74 6f DBYTES:32,crypto
00023d00: 5f 68 61 73 68 5f 42 59 54 45 53 3a 36 34 2c 67 _hash_BYTES:64,g
00023d10: 66 3a 74 2c 44 3a 63 2c 4c 3a 6f 65 2c 70 61 63 f:t,D:c,L:oe,pac
00023d20: 6b 32 35 35 31 39 3a 4d 2c 75 6e 70 61 63 6b 32 k25519:M,unpack2
00023d30: 35 35 31 39 3a 4c 2c 4d 3a 42 2c 41 3a 46 2c 53 5519:L,M:B,A:F,S
00023d40: 3a 6a 2c 5a 3a 55 2c 70 6f 77 32 35 32 33 3a 47 :j,Z:U,pow2523:G
00023d50: 2c 61 64 64 3a 4a 2c 73 65 74 32 35 35 31 39 3a ,add:J,set25519:
00023d60: 4f 2c 6d 6f 64 4c 3a 69 65 2c 73 63 61 6c 61 72 O,modL:ie,scalar
00023d70: 6d 75 6c 74 3a 74 65 2c 73 63 61 6c 61 72 62 61 mult:te,scalarba
00023d80: 73 65 3a 6e 65 7d 2c 65 2e 72 61 6e 64 6f 6d 42 se:ne},e.randomB
00023d90: 79 74 65 73 3d 66 75 6e 63 74 69 6f 6e 28 65 29 ytes=function(e)
00023da0: 7b 76 61 72 20 74 3d 6e 65 77 20 55 69 6e 74 38 {var t=new Uint8
00023db0: 41 72 72 61 79 28 65 29 3b 72 65 74 75 72 6e 20 Array(e);return
00023dc0: 72 28 74 2c 65 29 2c 74 7d 2c 65 2e 73 65 63 72 r(t,e),t},e.secr
00023dd0: 65 74 62 6f 78 3d 66 75 6e 63 74 69 6f 6e 28 65 etbox=function(e
00023de0: 2c 74 2c 6e 29 7b 79 65 28 65 2c 74 2c 6e 29 2c ,t,n){ye(e,t,n),
00023df0: 76 65 28 6e 2c 74 29 3b 66 6f 72 28 76 61 72 20 ve(n,t);for(var
00023e00: 72 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 r=new Uint8Array
00023e10: 28 33 32 2b 65 2e 6c 65 6e 67 74 68 29 2c 6f 3d (32+e.length),o=
00023e20: 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 72 new Uint8Array(r
00023e30: 2e 6c 65 6e 67 74 68 29 2c 69 3d 30 3b 69 3c 65 .length),i=0;i<e
00023e40: 2e 6c 65 6e 67 74 68 3b 69 2b 2b 29 72 5b 69 2b .length;i++)r[i+
00023e50: 33 32 5d 3d 65 5b 69 5d 3b 72 65 74 75 72 6e 20 32]=e[i];return
00023e60: 52 28 6f 2c 72 2c 72 2e 6c 65 6e 67 74 68 2c 74 R(o,r,r.length,t
00023e70: 2c 6e 29 2c 6f 2e 73 75 62 61 72 72 61 79 28 64 ,n),o.subarray(d
00023e80: 65 29 7d 2c 65 2e 73 65 63 72 65 74 62 6f 78 2e e)},e.secretbox.
00023e90: 6f 70 65 6e 3d 66 75 6e 63 74 69 6f 6e 28 65 2c open=function(e,
00023ea0: 74 2c 6e 29 7b 79 65 28 65 2c 74 2c 6e 29 2c 76 t,n){ye(e,t,n),v
00023eb0: 65 28 6e 2c 74 29 3b 66 6f 72 28 76 61 72 20 72 e(n,t);for(var r
00023ec0: 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 =new Uint8Array(
00023ed0: 64 65 2b 65 2e 6c 65 6e 67 74 68 29 2c 6f 3d 6e de+e.length),o=n
00023ee0: 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 72 2e ew Uint8Array(r.
00023ef0: 6c 65 6e 67 74 68 29 2c 69 3d 30 3b 69 3c 65 2e length),i=0;i<e.
00023f00: 6c 65 6e 67 74 68 3b 69 2b 2b 29 72 5b 69 2b 64 length;i++)r[i+d
00023f10: 65 5d 3d 65 5b 69 5d 3b 72 65 74 75 72 6e 20 72 e]=e[i];return r
00023f20: 2e 6c 65 6e 67 74 68 3c 33 32 7c 7c 30 21 3d 3d .length<32||0!==
00023f30: 6b 28 6f 2c 72 2c 72 2e 6c 65 6e 67 74 68 2c 74 k(o,r,r.length,t
00023f40: 2c 6e 29 3f 6e 75 6c 6c 3a 6f 2e 73 75 62 61 72 ,n)?null:o.subar
00023f50: 72 61 79 28 33 32 29 7d 2c 65 2e 73 65 63 72 65 ray(32)},e.secre
00023f60: 74 62 6f 78 2e 6b 65 79 4c 65 6e 67 74 68 3d 63 tbox.keyLength=c
00023f70: 65 2c 65 2e 73 65 63 72 65 74 62 6f 78 2e 6e 6f e,e.secretbox.no
00023f80: 6e 63 65 4c 65 6e 67 74 68 3d 75 65 2c 65 2e 73 nceLength=ue,e.s
00023f90: 65 63 72 65 74 62 6f 78 2e 6f 76 65 72 68 65 61 ecretbox.overhea
00023fa0: 64 4c 65 6e 67 74 68 3d 64 65 2c 65 2e 73 63 61 dLength=de,e.sca
00023fb0: 6c 61 72 4d 75 6c 74 3d 66 75 6e 63 74 69 6f 6e larMult=function
00023fc0: 28 65 2c 74 29 7b 69 66 28 79 65 28 65 2c 74 29 (e,t){if(ye(e,t)
00023fd0: 2c 33 32 21 3d 3d 65 2e 6c 65 6e 67 74 68 29 74 ,32!==e.length)t
00023fe0: 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 28 22 hrow new Error("
00023ff0: 62 61 64 20 6e 20 73 69 7a 65 22 29 3b 69 66 28 bad n size");if(
00024000: 33 32 21 3d 3d 74 2e 6c 65 6e 67 74 68 29 74 68 32!==t.length)th
00024010: 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 28 22 62 row new Error("b
00024020: 61 64 20 70 20 73 69 7a 65 22 29 3b 76 61 72 20 ad p size");var
00024030: 6e 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 n=new Uint8Array
00024040: 28 33 32 29 3b 72 65 74 75 72 6e 20 48 28 6e 2c (32);return H(n,
00024050: 65 2c 74 29 2c 6e 7d 2c 65 2e 73 63 61 6c 61 72 e,t),n},e.scalar
00024060: 4d 75 6c 74 2e 62 61 73 65 3d 66 75 6e 63 74 69 Mult.base=functi
00024070: 6f 6e 28 65 29 7b 69 66 28 79 65 28 65 29 2c 33 on(e){if(ye(e),3
00024080: 32 21 3d 3d 65 2e 6c 65 6e 67 74 68 29 74 68 72 2!==e.length)thr
00024090: 6f 77 20 6e 65 77 20 45 72 72 6f 72 28 22 62 61 ow new Error("ba
000240a0: 64 20 6e 20 73 69 7a 65 22 29 3b 76 61 72 20 74 d n size");var t
000240b0: 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 =new Uint8Array(
000240c0: 33 32 29 3b 72 65 74 75 72 6e 20 56 28 74 2c 65 32);return V(t,e
000240d0: 29 2c 74 7d 2c 65 2e 73 63 61 6c 61 72 4d 75 6c ),t},e.scalarMul
000240e0: 74 2e 73 63 61 6c 61 72 4c 65 6e 67 74 68 3d 33 t.scalarLength=3
000240f0: 32 2c 65 2e 73 63 61 6c 61 72 4d 75 6c 74 2e 67 2,e.scalarMult.g
00024100: 72 6f 75 70 45 6c 65 6d 65 6e 74 4c 65 6e 67 74 roupElementLengt
00024110: 68 3d 33 32 2c 65 2e 62 6f 78 3d 66 75 6e 63 74 h=32,e.box=funct
00024120: 69 6f 6e 28 74 2c 6e 2c 72 2c 6f 29 7b 76 61 72 ion(t,n,r,o){var
00024130: 20 69 3d 65 2e 62 6f 78 2e 62 65 66 6f 72 65 28 i=e.box.before(
00024140: 72 2c 6f 29 3b 72 65 74 75 72 6e 20 65 2e 73 65 r,o);return e.se
00024150: 63 72 65 74 62 6f 78 28 74 2c 6e 2c 69 29 7d 2c cretbox(t,n,i)},
00024160: 65 2e 62 6f 78 2e 62 65 66 6f 72 65 3d 66 75 6e e.box.before=fun
00024170: 63 74 69 6f 6e 28 65 2c 74 29 7b 79 65 28 65 2c ction(e,t){ye(e,
00024180: 74 29 2c 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 t),function(e,t)
00024190: 7b 69 66 28 65 2e 6c 65 6e 67 74 68 21 3d 3d 70 {if(e.length!==p
000241a0: 65 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f e)throw new Erro
000241b0: 72 28 22 62 61 64 20 70 75 62 6c 69 63 20 6b 65 r("bad public ke
000241c0: 79 20 73 69 7a 65 22 29 3b 69 66 28 74 2e 6c 65 y size");if(t.le
000241d0: 6e 67 74 68 21 3d 3d 66 65 29 74 68 72 6f 77 20 ngth!==fe)throw
000241e0: 6e 65 77 20 45 72 72 6f 72 28 22 62 61 64 20 73 new Error("bad s
000241f0: 65 63 72 65 74 20 6b 65 79 20 73 69 7a 65 22 29 ecret key size")
00024200: 7d 28 65 2c 74 29 3b 76 61 72 20 6e 3d 6e 65 77 }(e,t);var n=new
00024210: 20 55 69 6e 74 38 41 72 72 61 79 28 33 32 29 3b Uint8Array(32);
00024220: 72 65 74 75 72 6e 20 57 28 6e 2c 65 2c 74 29 2c return W(n,e,t),
00024230: 6e 7d 2c 65 2e 62 6f 78 2e 61 66 74 65 72 3d 65 n},e.box.after=e
00024240: 2e 73 65 63 72 65 74 62 6f 78 2c 65 2e 62 6f 78 .secretbox,e.box
00024250: 2e 6f 70 65 6e 3d 66 75 6e 63 74 69 6f 6e 28 74 .open=function(t
00024260: 2c 6e 2c 72 2c 6f 29 7b 76 61 72 20 69 3d 65 2e ,n,r,o){var i=e.
00024270: 62 6f 78 2e 62 65 66 6f 72 65 28 72 2c 6f 29 3b box.before(r,o);
00024280: 72 65 74 75 72 6e 20 65 2e 73 65 63 72 65 74 62 return e.secretb
00024290: 6f 78 2e 6f 70 65 6e 28 74 2c 6e 2c 69 29 7d 2c ox.open(t,n,i)},
000242a0: 65 2e 62 6f 78 2e 6f 70 65 6e 2e 61 66 74 65 72 e.box.open.after
000242b0: 3d 65 2e 73 65 63 72 65 74 62 6f 78 2e 6f 70 65 =e.secretbox.ope
000242c0: 6e 2c 65 2e 62 6f 78 2e 6b 65 79 50 61 69 72 3d n,e.box.keyPair=
000242d0: 66 75 6e 63 74 69 6f 6e 28 29 7b 76 61 72 20 65 function(){var e
000242e0: 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 =new Uint8Array(
000242f0: 70 65 29 2c 74 3d 6e 65 77 20 55 69 6e 74 38 41 pe),t=new Uint8A
00024300: 72 72 61 79 28 66 65 29 3b 72 65 74 75 72 6e 20 rray(fe);return
00024310: 71 28 65 2c 74 29 2c 7b 70 75 62 6c 69 63 4b 65 q(e,t),{publicKe
00024320: 79 3a 65 2c 73 65 63 72 65 74 4b 65 79 3a 74 7d y:e,secretKey:t}
00024330: 7d 2c 65 2e 62 6f 78 2e 6b 65 79 50 61 69 72 2e },e.box.keyPair.
00024340: 66 72 6f 6d 53 65 63 72 65 74 4b 65 79 3d 66 75 fromSecretKey=fu
00024350: 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 79 65 28 nction(e){if(ye(
00024360: 65 29 2c 65 2e 6c 65 6e 67 74 68 21 3d 3d 66 65 e),e.length!==fe
00024370: 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 )throw new Error
00024380: 28 22 62 61 64 20 73 65 63 72 65 74 20 6b 65 79 ("bad secret key
00024390: 20 73 69 7a 65 22 29 3b 76 61 72 20 74 3d 6e 65 size");var t=ne
000243a0: 77 20 55 69 6e 74 38 41 72 72 61 79 28 70 65 29 w Uint8Array(pe)
000243b0: 3b 72 65 74 75 72 6e 20 56 28 74 2c 65 29 2c 7b ;return V(t,e),{
000243c0: 70 75 62 6c 69 63 4b 65 79 3a 74 2c 73 65 63 72 publicKey:t,secr
000243d0: 65 74 4b 65 79 3a 6e 65 77 20 55 69 6e 74 38 41 etKey:new Uint8A
000243e0: 72 72 61 79 28 65 29 7d 7d 2c 65 2e 62 6f 78 2e rray(e)}},e.box.
000243f0: 70 75 62 6c 69 63 4b 65 79 4c 65 6e 67 74 68 3d publicKeyLength=
00024400: 70 65 2c 65 2e 62 6f 78 2e 73 65 63 72 65 74 4b pe,e.box.secretK
00024410: 65 79 4c 65 6e 67 74 68 3d 66 65 2c 65 2e 62 6f eyLength=fe,e.bo
00024420: 78 2e 73 68 61 72 65 64 4b 65 79 4c 65 6e 67 74 x.sharedKeyLengt
00024430: 68 3d 33 32 2c 65 2e 62 6f 78 2e 6e 6f 6e 63 65 h=32,e.box.nonce
00024440: 4c 65 6e 67 74 68 3d 6d 65 2c 65 2e 62 6f 78 2e Length=me,e.box.
00024450: 6f 76 65 72 68 65 61 64 4c 65 6e 67 74 68 3d 65 overheadLength=e
00024460: 2e 73 65 63 72 65 74 62 6f 78 2e 6f 76 65 72 68 .secretbox.overh
00024470: 65 61 64 4c 65 6e 67 74 68 2c 65 2e 73 69 67 6e eadLength,e.sign
00024480: 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 69 =function(e,t){i
00024490: 66 28 79 65 28 65 2c 74 29 2c 74 2e 6c 65 6e 67 f(ye(e,t),t.leng
000244a0: 74 68 21 3d 3d 62 65 29 74 68 72 6f 77 20 6e 65 th!==be)throw ne
000244b0: 77 20 45 72 72 6f 72 28 22 62 61 64 20 73 65 63 w Error("bad sec
000244c0: 72 65 74 20 6b 65 79 20 73 69 7a 65 22 29 3b 76 ret key size");v
000244d0: 61 72 20 6e 3d 6e 65 77 20 55 69 6e 74 38 41 72 ar n=new Uint8Ar
000244e0: 72 61 79 28 68 65 2b 65 2e 6c 65 6e 67 74 68 29 ray(he+e.length)
000244f0: 3b 72 65 74 75 72 6e 20 73 65 28 6e 2c 65 2c 65 ;return se(n,e,e
00024500: 2e 6c 65 6e 67 74 68 2c 74 29 2c 6e 7d 2c 65 2e .length,t),n},e.
00024510: 73 69 67 6e 2e 6f 70 65 6e 3d 66 75 6e 63 74 69 sign.open=functi
00024520: 6f 6e 28 65 2c 74 29 7b 69 66 28 79 65 28 65 2c on(e,t){if(ye(e,
00024530: 74 29 2c 74 2e 6c 65 6e 67 74 68 21 3d 3d 67 65 t),t.length!==ge
00024540: 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 )throw new Error
00024550: 28 22 62 61 64 20 70 75 62 6c 69 63 20 6b 65 79 ("bad public key
00024560: 20 73 69 7a 65 22 29 3b 76 61 72 20 6e 3d 6e 65 size");var n=ne
00024570: 77 20 55 69 6e 74 38 41 72 72 61 79 28 65 2e 6c w Uint8Array(e.l
00024580: 65 6e 67 74 68 29 2c 72 3d 6c 65 28 6e 2c 65 2c ength),r=le(n,e,
00024590: 65 2e 6c 65 6e 67 74 68 2c 74 29 3b 69 66 28 72 e.length,t);if(r
000245a0: 3c 30 29 72 65 74 75 72 6e 20 6e 75 6c 6c 3b 66 <0)return null;f
000245b0: 6f 72 28 76 61 72 20 6f 3d 6e 65 77 20 55 69 6e or(var o=new Uin
000245c0: 74 38 41 72 72 61 79 28 72 29 2c 69 3d 30 3b 69 t8Array(r),i=0;i
000245d0: 3c 6f 2e 6c 65 6e 67 74 68 3b 69 2b 2b 29 6f 5b <o.length;i++)o[
000245e0: 69 5d 3d 6e 5b 69 5d 3b 72 65 74 75 72 6e 20 6f i]=n[i];return o
000245f0: 7d 2c 65 2e 73 69 67 6e 2e 64 65 74 61 63 68 65 },e.sign.detache
00024600: 64 3d 66 75 6e 63 74 69 6f 6e 28 74 2c 6e 29 7b d=function(t,n){
00024610: 66 6f 72 28 76 61 72 20 72 3d 65 2e 73 69 67 6e for(var r=e.sign
00024620: 28 74 2c 6e 29 2c 6f 3d 6e 65 77 20 55 69 6e 74 (t,n),o=new Uint
00024630: 38 41 72 72 61 79 28 68 65 29 2c 69 3d 30 3b 69 8Array(he),i=0;i
00024640: 3c 6f 2e 6c 65 6e 67 74 68 3b 69 2b 2b 29 6f 5b <o.length;i++)o[
00024650: 69 5d 3d 72 5b 69 5d 3b 72 65 74 75 72 6e 20 6f i]=r[i];return o
00024660: 7d 2c 65 2e 73 69 67 6e 2e 64 65 74 61 63 68 65 },e.sign.detache
00024670: 64 2e 76 65 72 69 66 79 3d 66 75 6e 63 74 69 6f d.verify=functio
00024680: 6e 28 65 2c 74 2c 6e 29 7b 69 66 28 79 65 28 65 n(e,t,n){if(ye(e
00024690: 2c 74 2c 6e 29 2c 74 2e 6c 65 6e 67 74 68 21 3d ,t,n),t.length!=
000246a0: 3d 68 65 29 74 68 72 6f 77 20 6e 65 77 20 45 72 =he)throw new Er
000246b0: 72 6f 72 28 22 62 61 64 20 73 69 67 6e 61 74 75 ror("bad signatu
000246c0: 72 65 20 73 69 7a 65 22 29 3b 69 66 28 6e 2e 6c re size");if(n.l
000246d0: 65 6e 67 74 68 21 3d 3d 67 65 29 74 68 72 6f 77 ength!==ge)throw
000246e0: 20 6e 65 77 20 45 72 72 6f 72 28 22 62 61 64 20 new Error("bad
000246f0: 70 75 62 6c 69 63 20 6b 65 79 20 73 69 7a 65 22 public key size"
00024700: 29 3b 76 61 72 20 72 2c 6f 3d 6e 65 77 20 55 69 );var r,o=new Ui
00024710: 6e 74 38 41 72 72 61 79 28 68 65 2b 65 2e 6c 65 nt8Array(he+e.le
00024720: 6e 67 74 68 29 2c 69 3d 6e 65 77 20 55 69 6e 74 ngth),i=new Uint
00024730: 38 41 72 72 61 79 28 68 65 2b 65 2e 6c 65 6e 67 8Array(he+e.leng
00024740: 74 68 29 3b 66 6f 72 28 72 3d 30 3b 72 3c 68 65 th);for(r=0;r<he
00024750: 3b 72 2b 2b 29 6f 5b 72 5d 3d 74 5b 72 5d 3b 66 ;r++)o[r]=t[r];f
00024760: 6f 72 28 72 3d 30 3b 72 3c 65 2e 6c 65 6e 67 74 or(r=0;r<e.lengt
00024770: 68 3b 72 2b 2b 29 6f 5b 72 2b 68 65 5d 3d 65 5b h;r++)o[r+he]=e[
00024780: 72 5d 3b 72 65 74 75 72 6e 20 6c 65 28 69 2c 6f r];return le(i,o
00024790: 2c 6f 2e 6c 65 6e 67 74 68 2c 6e 29 3e 3d 30 7d ,o.length,n)>=0}
000247a0: 2c 65 2e 73 69 67 6e 2e 6b 65 79 50 61 69 72 3d ,e.sign.keyPair=
000247b0: 66 75 6e 63 74 69 6f 6e 28 29 7b 76 61 72 20 65 function(){var e
000247c0: 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 =new Uint8Array(
000247d0: 67 65 29 2c 74 3d 6e 65 77 20 55 69 6e 74 38 41 ge),t=new Uint8A
000247e0: 72 72 61 79 28 62 65 29 3b 72 65 74 75 72 6e 20 rray(be);return
000247f0: 72 65 28 65 2c 74 29 2c 7b 70 75 62 6c 69 63 4b re(e,t),{publicK
00024800: 65 79 3a 65 2c 73 65 63 72 65 74 4b 65 79 3a 74 ey:e,secretKey:t
00024810: 7d 7d 2c 65 2e 73 69 67 6e 2e 6b 65 79 50 61 69 }},e.sign.keyPai
00024820: 72 2e 66 72 6f 6d 53 65 63 72 65 74 4b 65 79 3d r.fromSecretKey=
00024830: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 79 function(e){if(y
00024840: 65 28 65 29 2c 65 2e 6c 65 6e 67 74 68 21 3d 3d e(e),e.length!==
00024850: 62 65 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 be)throw new Err
00024860: 6f 72 28 22 62 61 64 20 73 65 63 72 65 74 20 6b or("bad secret k
00024870: 65 79 20 73 69 7a 65 22 29 3b 66 6f 72 28 76 61 ey size");for(va
00024880: 72 20 74 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 r t=new Uint8Arr
00024890: 61 79 28 67 65 29 2c 6e 3d 30 3b 6e 3c 74 2e 6c ay(ge),n=0;n<t.l
000248a0: 65 6e 67 74 68 3b 6e 2b 2b 29 74 5b 6e 5d 3d 65 ength;n++)t[n]=e
000248b0: 5b 33 32 2b 6e 5d 3b 72 65 74 75 72 6e 7b 70 75 [32+n];return{pu
000248c0: 62 6c 69 63 4b 65 79 3a 74 2c 73 65 63 72 65 74 blicKey:t,secret
000248d0: 4b 65 79 3a 6e 65 77 20 55 69 6e 74 38 41 72 72 Key:new Uint8Arr
000248e0: 61 79 28 65 29 7d 7d 2c 65 2e 73 69 67 6e 2e 6b ay(e)}},e.sign.k
000248f0: 65 79 50 61 69 72 2e 66 72 6f 6d 53 65 65 64 3d eyPair.fromSeed=
00024900: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 79 function(e){if(y
00024910: 65 28 65 29 2c 33 32 21 3d 3d 65 2e 6c 65 6e 67 e(e),32!==e.leng
00024920: 74 68 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 th)throw new Err
00024930: 6f 72 28 22 62 61 64 20 73 65 65 64 20 73 69 7a or("bad seed siz
00024940: 65 22 29 3b 66 6f 72 28 76 61 72 20 74 3d 6e 65 e");for(var t=ne
00024950: 77 20 55 69 6e 74 38 41 72 72 61 79 28 67 65 29 w Uint8Array(ge)
00024960: 2c 6e 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 ,n=new Uint8Arra
00024970: 79 28 62 65 29 2c 72 3d 30 3b 72 3c 33 32 3b 72 y(be),r=0;r<32;r
00024980: 2b 2b 29 6e 5b 72 5d 3d 65 5b 72 5d 3b 72 65 74 ++)n[r]=e[r];ret
00024990: 75 72 6e 20 72 65 28 74 2c 6e 2c 21 30 29 2c 7b urn re(t,n,!0),{
000249a0: 70 75 62 6c 69 63 4b 65 79 3a 74 2c 73 65 63 72 publicKey:t,secr
000249b0: 65 74 4b 65 79 3a 6e 7d 7d 2c 65 2e 73 69 67 6e etKey:n}},e.sign
000249c0: 2e 70 75 62 6c 69 63 4b 65 79 4c 65 6e 67 74 68 .publicKeyLength
000249d0: 3d 67 65 2c 65 2e 73 69 67 6e 2e 73 65 63 72 65 =ge,e.sign.secre
000249e0: 74 4b 65 79 4c 65 6e 67 74 68 3d 62 65 2c 65 2e tKeyLength=be,e.
000249f0: 73 69 67 6e 2e 73 65 65 64 4c 65 6e 67 74 68 3d sign.seedLength=
00024a00: 33 32 2c 65 2e 73 69 67 6e 2e 73 69 67 6e 61 74 32,e.sign.signat
00024a10: 75 72 65 4c 65 6e 67 74 68 3d 68 65 2c 65 2e 68 ureLength=he,e.h
00024a20: 61 73 68 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b ash=function(e){
00024a30: 79 65 28 65 29 3b 76 61 72 20 74 3d 6e 65 77 20 ye(e);var t=new
00024a40: 55 69 6e 74 38 41 72 72 61 79 28 36 34 29 3b 72 Uint8Array(64);r
00024a50: 65 74 75 72 6e 20 51 28 74 2c 65 2c 65 2e 6c 65 eturn Q(t,e,e.le
00024a60: 6e 67 74 68 29 2c 74 7d 2c 65 2e 68 61 73 68 2e ngth),t},e.hash.
00024a70: 68 61 73 68 4c 65 6e 67 74 68 3d 36 34 2c 65 2e hashLength=64,e.
00024a80: 76 65 72 69 66 79 3d 66 75 6e 63 74 69 6f 6e 28 verify=function(
00024a90: 65 2c 74 29 7b 72 65 74 75 72 6e 20 79 65 28 65 e,t){return ye(e
00024aa0: 2c 74 29 2c 30 21 3d 3d 65 2e 6c 65 6e 67 74 68 ,t),0!==e.length
00024ab0: 26 26 30 21 3d 3d 74 2e 6c 65 6e 67 74 68 26 26 &&0!==t.length&&
00024ac0: 28 65 2e 6c 65 6e 67 74 68 3d 3d 3d 74 2e 6c 65 (e.length===t.le
00024ad0: 6e 67 74 68 26 26 30 3d 3d 3d 68 28 65 2c 30 2c ngth&&0===h(e,0,
00024ae0: 74 2c 30 2c 65 2e 6c 65 6e 67 74 68 29 29 7d 2c t,0,e.length))},
00024af0: 65 2e 73 65 74 50 52 4e 47 3d 66 75 6e 63 74 69 e.setPRNG=functi
00024b00: 6f 6e 28 65 29 7b 72 3d 65 7d 2c 66 75 6e 63 74 on(e){r=e},funct
00024b10: 69 6f 6e 28 29 7b 76 61 72 20 74 3d 22 75 6e 64 ion(){var t="und
00024b20: 65 66 69 6e 65 64 22 21 3d 74 79 70 65 6f 66 20 efined"!=typeof
00024b30: 73 65 6c 66 3f 73 65 6c 66 2e 63 72 79 70 74 6f self?self.crypto
00024b40: 7c 7c 73 65 6c 66 2e 6d 73 43 72 79 70 74 6f 3a ||self.msCrypto:
00024b50: 6e 75 6c 6c 3b 69 66 28 74 26 26 74 2e 67 65 74 null;if(t&&t.get
00024b60: 52 61 6e 64 6f 6d 56 61 6c 75 65 73 29 7b 65 2e RandomValues){e.
00024b70: 73 65 74 50 52 4e 47 28 28 66 75 6e 63 74 69 6f setPRNG((functio
00024b80: 6e 28 65 2c 6e 29 7b 76 61 72 20 72 2c 6f 3d 6e n(e,n){var r,o=n
00024b90: 65 77 20 55 69 6e 74 38 41 72 72 61 79 28 6e 29 ew Uint8Array(n)
00024ba0: 3b 66 6f 72 28 72 3d 30 3b 72 3c 6e 3b 72 2b 3d ;for(r=0;r<n;r+=
00024bb0: 36 35 35 33 36 29 74 2e 67 65 74 52 61 6e 64 6f 65536)t.getRando
00024bc0: 6d 56 61 6c 75 65 73 28 6f 2e 73 75 62 61 72 72 mValues(o.subarr
00024bd0: 61 79 28 72 2c 72 2b 4d 61 74 68 2e 6d 69 6e 28 ay(r,r+Math.min(
00024be0: 6e 2d 72 2c 36 35 35 33 36 29 29 29 3b 66 6f 72 n-r,65536)));for
00024bf0: 28 72 3d 30 3b 72 3c 6e 3b 72 2b 2b 29 65 5b 72 (r=0;r<n;r++)e[r
00024c00: 5d 3d 6f 5b 72 5d 3b 45 65 28 6f 29 7d 29 29 7d ]=o[r];Ee(o)}))}
00024c10: 65 6c 73 65 28 74 3d 6e 28 35 33 39 32 38 29 29 else(t=n(53928))
00024c20: 26 26 74 2e 72 61 6e 64 6f 6d 42 79 74 65 73 26 &&t.randomBytes&
00024c30: 26 65 2e 73 65 74 50 52 4e 47 28 28 66 75 6e 63 &e.setPRNG((func
00024c40: 74 69 6f 6e 28 65 2c 6e 29 7b 76 61 72 20 72 2c tion(e,n){var r,
00024c50: 6f 3d 74 2e 72 61 6e 64 6f 6d 42 79 74 65 73 28 o=t.randomBytes(
00024c60: 6e 29 3b 66 6f 72 28 72 3d 30 3b 72 3c 6e 3b 72 n);for(r=0;r<n;r
00024c70: 2b 2b 29 65 5b 72 5d 3d 6f 5b 72 5d 3b 45 65 28 ++)e[r]=o[r];Ee(
00024c80: 6f 29 7d 29 29 7d 28 29 7d 28 65 2e 65 78 70 6f o)}))}()}(e.expo
00024c90: 72 74 73 3f 65 2e 65 78 70 6f 72 74 73 3a 73 65 rts?e.exports:se
00024ca0: 6c 66 2e 6e 61 63 6c 3d 73 65 6c 66 2e 6e 61 63 lf.nacl=self.nac
00024cb0: 6c 7c 7c 7b 7d 29 7d 2c 34 39 37 30 35 3a 66 75 l||{})},49705:fu
00024cc0: 6e 63 74 69 6f 6e 28 65 29 7b 22 75 73 65 20 73 nction(e){"use s
00024cd0: 74 72 69 63 74 22 3b 76 61 72 20 74 3d 66 75 6e trict";var t=fun
00024ce0: 63 74 69 6f 6e 28 29 7b 7d 3b 65 2e 65 78 70 6f ction(){};e.expo
00024cf0: 72 74 73 3d 74 7d 2c 36 34 38 34 32 3a 66 75 6e rts=t},64842:fun
00024d00: 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 ction(e,t,n){"us
00024d10: 65 20 73 74 72 69 63 74 22 3b 76 61 72 20 72 3d e strict";var r=
00024d20: 6e 28 38 33 39 33 34 29 2c 6f 3d 6e 28 37 36 37 n(83934),o=n(767
00024d30: 34 29 2c 69 3d 6e 28 34 39 37 38 36 29 2c 61 3d 4),i=n(49786),a=
00024d40: 6e 28 36 36 36 37 34 29 2c 73 3d 6e 28 36 38 34 n(66674),s=n(684
00024d50: 33 35 29 3b 65 2e 65 78 70 6f 72 74 73 3d 66 75 35);e.exports=fu
00024d60: 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e nction(e){return
00024d70: 20 6e 75 6c 6c 3d 3d 65 7c 7c 22 6f 62 6a 65 63 null==e||"objec
00024d80: 74 22 21 3d 74 79 70 65 6f 66 20 65 26 26 22 66 t"!=typeof e&&"f
00024d90: 75 6e 63 74 69 6f 6e 22 21 3d 74 79 70 65 6f 66 unction"!=typeof
00024da0: 20 65 3f 6e 75 6c 6c 3a 72 28 65 29 3f 22 53 74 e?null:r(e)?"St
00024db0: 72 69 6e 67 22 3a 6f 28 65 29 3f 22 4e 75 6d 62 ring":o(e)?"Numb
00024dc0: 65 72 22 3a 69 28 65 29 3f 22 42 6f 6f 6c 65 61 er":i(e)?"Boolea
00024dd0: 6e 22 3a 61 28 65 29 3f 22 53 79 6d 62 6f 6c 22 n":a(e)?"Symbol"
00024de0: 3a 73 28 65 29 3f 22 42 69 67 49 6e 74 22 3a 76 :s(e)?"BigInt":v
00024df0: 6f 69 64 20 30 7d 7d 2c 33 32 34 39 3a 66 75 6e oid 0}},3249:fun
00024e00: 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 22 75 73 ction(e,t,n){"us
00024e10: 65 20 73 74 72 69 63 74 22 3b 76 61 72 20 72 3d e strict";var r=
00024e20: 6e 28 36 33 36 35 34 29 2c 6f 3d 6e 28 38 36 33 n(63654),o=n(863
00024e30: 34 32 29 2c 69 3d 6e 28 32 30 30 32 38 29 2c 61 42),i=n(20028),a
00024e40: 3d 6e 28 37 39 38 36 37 29 2c 73 3d 69 28 22 4f =n(79867),s=i("O
00024e50: 62 6a 65 63 74 2e 70 72 6f 74 6f 74 79 70 65 2e bject.prototype.
00024e60: 74 6f 53 74 72 69 6e 67 22 29 2c 6c 3d 6e 28 38 toString"),l=n(8
00024e70: 32 37 34 34 29 28 29 2c 63 3d 22 75 6e 64 65 66 2744)(),c="undef
00024e80: 69 6e 65 64 22 3d 3d 74 79 70 65 6f 66 20 67 6c ined"==typeof gl
00024e90: 6f 62 61 6c 54 68 69 73 3f 6e 2e 67 3a 67 6c 6f obalThis?n.g:glo
00024ea0: 62 61 6c 54 68 69 73 2c 75 3d 6f 28 29 2c 64 3d balThis,u=o(),d=
00024eb0: 69 28 22 53 74 72 69 6e 67 2e 70 72 6f 74 6f 74 i("String.protot
00024ec0: 79 70 65 2e 73 6c 69 63 65 22 29 2c 70 3d 7b 7d ype.slice"),p={}
00024ed0: 2c 66 3d 4f 62 6a 65 63 74 2e 67 65 74 50 72 6f ,f=Object.getPro
00024ee0: 74 6f 74 79 70 65 4f 66 3b 6c 26 26 61 26 26 66 totypeOf;l&&a&&f
00024ef0: 26 26 72 28 75 2c 28 66 75 6e 63 74 69 6f 6e 28 &&r(u,(function(
00024f00: 65 29 7b 69 66 28 22 66 75 6e 63 74 69 6f 6e 22 e){if("function"
00024f10: 3d 3d 74 79 70 65 6f 66 20 63 5b 65 5d 29 7b 76 ==typeof c[e]){v
00024f20: 61 72 20 74 3d 6e 65 77 20 63 5b 65 5d 3b 69 66 ar t=new c[e];if
00024f30: 28 53 79 6d 62 6f 6c 2e 74 6f 53 74 72 69 6e 67 (Symbol.toString
00024f40: 54 61 67 20 69 6e 20 74 29 7b 76 61 72 20 6e 3d Tag in t){var n=
00024f50: 66 28 74 29 2c 72 3d 61 28 6e 2c 53 79 6d 62 6f f(t),r=a(n,Symbo
00024f60: 6c 2e 74 6f 53 74 72 69 6e 67 54 61 67 29 3b 69 l.toStringTag);i
00024f70: 66 28 21 72 29 7b 76 61 72 20 6f 3d 66 28 6e 29 f(!r){var o=f(n)
00024f80: 3b 72 3d 61 28 6f 2c 53 79 6d 62 6f 6c 2e 74 6f ;r=a(o,Symbol.to
00024f90: 53 74 72 69 6e 67 54 61 67 29 7d 70 5b 65 5d 3d StringTag)}p[e]=
00024fa0: 72 2e 67 65 74 7d 7d 7d 29 29 3b 76 61 72 20 6d r.get}}}));var m
00024fb0: 3d 6e 28 34 32 34 37 39 29 3b 65 2e 65 78 70 6f =n(42479);e.expo
00024fc0: 72 74 73 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b rts=function(e){
00024fd0: 72 65 74 75 72 6e 21 21 6d 28 65 29 26 26 28 6c return!!m(e)&&(l
00024fe0: 26 26 53 79 6d 62 6f 6c 2e 74 6f 53 74 72 69 6e &&Symbol.toStrin
00024ff0: 67 54 61 67 20 69 6e 20 65 3f 66 75 6e 63 74 69 gTag in e?functi
00025000: 6f 6e 28 65 29 7b 76 61 72 20 74 3d 21 31 3b 72 on(e){var t=!1;r
00025010: 65 74 75 72 6e 20 72 28 70 2c 28 66 75 6e 63 74 eturn r(p,(funct
00025020: 69 6f 6e 28 6e 2c 72 29 7b 69 66 28 21 74 29 74 ion(n,r){if(!t)t
00025030: 72 79 7b 76 61 72 20 6f 3d 6e 2e 63 61 6c 6c 28 ry{var o=n.call(
00025040: 65 29 3b 6f 3d 3d 3d 72 26 26 28 74 3d 6f 29 7d e);o===r&&(t=o)}
00025050: 63 61 74 63 68 28 65 29 7b 7d 7d 29 29 2c 74 7d catch(e){}})),t}
00025060: 28 65 29 3a 64 28 73 28 65 29 2c 38 2c 2d 31 29 (e):d(s(e),8,-1)
00025070: 29 7d 7d 2c 37 38 39 39 32 3a 66 75 6e 63 74 69 )}},78992:functi
00025080: 6f 6e 28 65 29 7b 65 2e 65 78 70 6f 72 74 73 3d on(e){e.exports=
00025090: 66 75 6e 63 74 69 6f 6e 28 29 7b 66 6f 72 28 76 function(){for(v
000250a0: 61 72 20 65 3d 7b 7d 2c 6e 3d 30 3b 6e 3c 61 72 ar e={},n=0;n<ar
000250b0: 67 75 6d 65 6e 74 73 2e 6c 65 6e 67 74 68 3b 6e guments.length;n
000250c0: 2b 2b 29 7b 76 61 72 20 72 3d 61 72 67 75 6d 65 ++){var r=argume
000250d0: 6e 74 73 5b 6e 5d 3b 66 6f 72 28 76 61 72 20 6f nts[n];for(var o
000250e0: 20 69 6e 20 72 29 74 2e 63 61 6c 6c 28 72 2c 6f in r)t.call(r,o
000250f0: 29 26 26 28 65 5b 6f 5d 3d 72 5b 6f 5d 29 7d 72 )&&(e[o]=r[o])}r
00025100: 65 74 75 72 6e 20 65 7d 3b 76 61 72 20 74 3d 4f eturn e};var t=O
00025110: 62 6a 65 63 74 2e 70 72 6f 74 6f 74 79 70 65 2e bject.prototype.
00025120: 68 61 73 4f 77 6e 50 72 6f 70 65 72 74 79 7d 2c hasOwnProperty},
00025130: 33 38 36 39 34 3a 66 75 6e 63 74 69 6f 6e 28 65 38694:function(e
00025140: 2c 74 2c 6e 29 7b 22 75 73 65 20 73 74 72 69 63 ,t,n){"use stric
00025150: 74 22 3b 76 61 72 20 72 3d 6e 28 36 37 32 39 34 t";var r=n(67294
00025160: 29 2c 6f 3d 6e 28 32 30 37 34 35 29 2c 69 3d 6e ),o=n(20745),i=n
00025170: 28 39 33 33 37 39 29 2c 61 3d 6e 2e 6e 28 69 29 (93379),a=n.n(i)
00025180: 2c 73 3d 6e 28 37 37 39 35 29 2c 6c 3d 6e 2e 6e ,s=n(7795),l=n.n
00025190: 28 73 29 2c 63 3d 6e 28 39 30 35 36 39 29 2c 75 (s),c=n(90569),u
000251a0: 3d 6e 2e 6e 28 63 29 2c 64 3d 6e 28 33 35 36 35 =n.n(c),d=n(3565
000251b0: 29 2c 70 3d 6e 2e 6e 28 64 29 2c 66 3d 6e 28 31 ),p=n.n(d),f=n(1
000251c0: 39 32 31 36 29 2c 6d 3d 6e 2e 6e 28 66 29 2c 68 9216),m=n.n(f),h
000251d0: 3d 6e 28 34 34 35 38 39 29 2c 67 3d 6e 2e 6e 28 =n(44589),g=n.n(
000251e0: 68 29 2c 62 3d 6e 28 35 32 38 38 29 2c 76 3d 7b h),b=n(5288),v={
000251f0: 7d 3b 76 2e 73 74 79 6c 65 54 61 67 54 72 61 6e };v.styleTagTran
00025200: 73 66 6f 72 6d 3d 67 28 29 2c 76 2e 73 65 74 41 sform=g(),v.setA
00025210: 74 74 72 69 62 75 74 65 73 3d 70 28 29 2c 76 2e ttributes=p(),v.
00025220: 69 6e 73 65 72 74 3d 75 28 29 2e 62 69 6e 64 28 insert=u().bind(
00025230: 6e 75 6c 6c 2c 22 68 65 61 64 22 29 2c 76 2e 64 null,"head"),v.d
00025240: 6f 6d 41 50 49 3d 6c 28 29 2c 76 2e 69 6e 73 65 omAPI=l(),v.inse
00025250: 72 74 53 74 79 6c 65 45 6c 65 6d 65 6e 74 3d 6d rtStyleElement=m
00025260: 28 29 3b 61 28 29 28 62 2e 5a 2c 76 29 2c 62 2e ();a()(b.Z,v),b.
00025270: 5a 26 26 62 2e 5a 2e 6c 6f 63 61 6c 73 26 26 62 Z&&b.Z.locals&&b
00025280: 2e 5a 2e 6c 6f 63 61 6c 73 3b 76 61 72 20 79 3d .Z.locals;var y=
00025290: 6e 28 38 33 31 33 34 29 2c 45 3d 6e 28 33 39 30 n(83134),E=n(390
000252a0: 32 32 29 2c 5f 3d 7b 7d 3b 5f 2e 73 74 79 6c 65 22),_={};_.style
000252b0: 54 61 67 54 72 61 6e 73 66 6f 72 6d 3d 67 28 29 TagTransform=g()
000252c0: 2c 5f 2e 73 65 74 41 74 74 72 69 62 75 74 65 73 ,_.setAttributes
000252d0: 3d 70 28 29 2c 5f 2e 69 6e 73 65 72 74 3d 75 28 =p(),_.insert=u(
000252e0: 29 2e 62 69 6e 64 28 6e 75 6c 6c 2c 22 68 65 61 ).bind(null,"hea
000252f0: 64 22 29 2c 5f 2e 64 6f 6d 41 50 49 3d 6c 28 29 d"),_.domAPI=l()
00025300: 2c 5f 2e 69 6e 73 65 72 74 53 74 79 6c 65 45 6c ,_.insertStyleEl
00025310: 65 6d 65 6e 74 3d 6d 28 29 3b 61 28 29 28 45 2e ement=m();a()(E.
00025320: 5a 2c 5f 29 2c 45 2e 5a 26 26 45 2e 5a 2e 6c 6f Z,_),E.Z&&E.Z.lo
00025330: 63 61 6c 73 26 26 45 2e 5a 2e 6c 6f 63 61 6c 73 cals&&E.Z.locals
00025340: 3b 76 61 72 20 53 3d 6e 28 38 33 34 30 32 29 2c ;var S=n(83402),
00025350: 77 3d 7b 7d 3b 77 2e 73 74 79 6c 65 54 61 67 54 w={};w.styleTagT
00025360: 72 61 6e 73 66 6f 72 6d 3d 67 28 29 2c 77 2e 73 ransform=g(),w.s
00025370: 65 74 41 74 74 72 69 62 75 74 65 73 3d 70 28 29 etAttributes=p()
00025380: 2c 77 2e 69 6e 73 65 72 74 3d 75 28 29 2e 62 69 ,w.insert=u().bi
00025390: 6e 64 28 6e 75 6c 6c 2c 22 68 65 61 64 22 29 2c nd(null,"head"),
000253a0: 77 2e 64 6f 6d 41 50 49 3d 6c 28 29 2c 77 2e 69 w.domAPI=l(),w.i
000253b0: 6e 73 65 72 74 53 74 79 6c 65 45 6c 65 6d 65 6e nsertStyleElemen
000253c0: 74 3d 6d 28 29 3b 61 28 29 28 53 2e 5a 2c 77 29 t=m();a()(S.Z,w)
000253d0: 2c 53 2e 5a 26 26 53 2e 5a 2e 6c 6f 63 61 6c 73 ,S.Z&&S.Z.locals
000253e0: 26 26 53 2e 5a 2e 6c 6f 63 61 6c 73 3b 76 61 72 &&S.Z.locals;var
000253f0: 20 54 3d 6e 28 31 32 35 29 2c 78 3d 7b 7d 3b 78 T=n(125),x={};x
00025400: 2e 73 74 79 6c 65 54 61 67 54 72 61 6e 73 66 6f .styleTagTransfo
00025410: 72 6d 3d 67 28 29 2c 78 2e 73 65 74 41 74 74 72 rm=g(),x.setAttr
00025420: 69 62 75 74 65 73 3d 70 28 29 2c 78 2e 69 6e 73 ibutes=p(),x.ins
00025430: 65 72 74 3d 75 28 29 2e 62 69 6e 64 28 6e 75 6c ert=u().bind(nul
00025440: 6c 2c 22 68 65 61 64 22 29 2c 78 2e 64 6f 6d 41 l,"head"),x.domA
00025450: 50 49 3d 6c 28 29 2c 78 2e 69 6e 73 65 72 74 53 PI=l(),x.insertS
00025460: 74 79 6c 65 45 6c 65 6d 65 6e 74 3d 6d 28 29 3b tyleElement=m();
00025470: 61 28 29 28 54 2e 5a 2c 78 29 2c 54 2e 5a 26 26 a()(T.Z,x),T.Z&&
00025480: 54 2e 5a 2e 6c 6f 63 61 6c 73 26 26 54 2e 5a 2e T.Z.locals&&T.Z.
00025490: 6c 6f 63 61 6c 73 2c 6e 28 31 35 35 37 37 29 3b locals,n(15577);
000254a0: 76 61 72 20 41 3d 6e 28 36 33 34 38 32 29 2c 43 var A=n(63482),C
000254b0: 3d 7b 7d 3b 43 2e 73 74 79 6c 65 54 61 67 54 72 ={};C.styleTagTr
000254c0: 61 6e 73 66 6f 72 6d 3d 67 28 29 2c 43 2e 73 65 ansform=g(),C.se
000254d0: 74 41 74 74 72 69 62 75 74 65 73 3d 70 28 29 2c tAttributes=p(),
000254e0: 43 2e 69 6e 73 65 72 74 3d 75 28 29 2e 62 69 6e C.insert=u().bin
000254f0: 64 28 6e 75 6c 6c 2c 22 68 65 61 64 22 29 2c 43 d(null,"head"),C
00025500: 2e 64 6f 6d 41 50 49 3d 6c 28 29 2c 43 2e 69 6e .domAPI=l(),C.in
00025510: 73 65 72 74 53 74 79 6c 65 45 6c 65 6d 65 6e 74 sertStyleElement
00025520: 3d 6d 28 29 3b 61 28 29 28 41 2e 5a 2c 43 29 2c =m();a()(A.Z,C),
00025530: 41 2e 5a 26 26 41 2e 5a 2e 6c 6f 63 61 6c 73 26 A.Z&&A.Z.locals&
00025540: 26 41 2e 5a 2e 6c 6f 63 61 6c 73 3b 66 75 6e 63 &A.Z.locals;func
00025550: 74 69 6f 6e 20 52 28 65 2c 74 29 7b 72 65 74 75 tion R(e,t){retu
00025560: 72 6e 20 52 3d 4f 62 6a 65 63 74 2e 73 65 74 50 rn R=Object.setP
00025570: 72 6f 74 6f 74 79 70 65 4f 66 3f 4f 62 6a 65 63 rototypeOf?Objec
00025580: 74 2e 73 65 74 50 72 6f 74 6f 74 79 70 65 4f 66 t.setPrototypeOf
00025590: 2e 62 69 6e 64 28 29 3a 66 75 6e 63 74 69 6f 6e .bind():function
000255a0: 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 65 2e 5f (e,t){return e._
000255b0: 5f 70 72 6f 74 6f 5f 5f 3d 74 2c 65 7d 2c 52 28 _proto__=t,e},R(
000255c0: 65 2c 74 29 7d 66 75 6e 63 74 69 6f 6e 20 6b 28 e,t)}function k(
000255d0: 65 2c 74 29 7b 65 2e 70 72 6f 74 6f 74 79 70 65 e,t){e.prototype
000255e0: 3d 4f 62 6a 65 63 74 2e 63 72 65 61 74 65 28 74 =Object.create(t
000255f0: 2e 70 72 6f 74 6f 74 79 70 65 29 2c 65 2e 70 72 .prototype),e.pr
00025600: 6f 74 6f 74 79 70 65 2e 63 6f 6e 73 74 72 75 63 ototype.construc
00025610: 74 6f 72 3d 65 2c 52 28 65 2c 74 29 7d 76 61 72 tor=e,R(e,t)}var
00025620: 20 4f 3d 6e 28 34 35 36 39 37 29 2c 4e 3d 6e 2e O=n(45697),N=n.
00025630: 6e 28 4f 29 3b 66 75 6e 63 74 69 6f 6e 20 49 28 n(O);function I(
00025640: 29 7b 72 65 74 75 72 6e 20 49 3d 4f 62 6a 65 63 ){return I=Objec
00025650: 74 2e 61 73 73 69 67 6e 3f 4f 62 6a 65 63 74 2e t.assign?Object.
00025660: 61 73 73 69 67 6e 2e 62 69 6e 64 28 29 3a 66 75 assign.bind():fu
00025670: 6e 63 74 69 6f 6e 28 65 29 7b 66 6f 72 28 76 61 nction(e){for(va
00025680: 72 20 74 3d 31 3b 74 3c 61 72 67 75 6d 65 6e 74 r t=1;t<argument
00025690: 73 2e 6c 65 6e 67 74 68 3b 74 2b 2b 29 7b 76 61 s.length;t++){va
000256a0: 72 20 6e 3d 61 72 67 75 6d 65 6e 74 73 5b 74 5d r n=arguments[t]
000256b0: 3b 66 6f 72 28 76 61 72 20 72 20 69 6e 20 6e 29 ;for(var r in n)
000256c0: 4f 62 6a 65 63 74 2e 70 72 6f 74 6f 74 79 70 65 Object.prototype
000256d0: 2e 68 61 73 4f 77 6e 50 72 6f 70 65 72 74 79 2e .hasOwnProperty.
000256e0: 63 61 6c 6c 28 6e 2c 72 29 26 26 28 65 5b 72 5d call(n,r)&&(e[r]
000256f0: 3d 6e 5b 72 5d 29 7d 72 65 74 75 72 6e 20 65 7d =n[r])}return e}
00025700: 2c 49 2e 61 70 70 6c 79 28 74 68 69 73 2c 61 72 ,I.apply(this,ar
00025710: 67 75 6d 65 6e 74 73 29 7d 66 75 6e 63 74 69 6f guments)}functio
00025720: 6e 20 4d 28 65 29 7b 72 65 74 75 72 6e 22 2f 22 n M(e){return"/"
00025730: 3d 3d 3d 65 2e 63 68 61 72 41 74 28 30 29 7d 66 ===e.charAt(0)}f
00025740: 75 6e 63 74 69 6f 6e 20 50 28 65 2c 74 29 7b 66 unction P(e,t){f
00025750: 6f 72 28 76 61 72 20 6e 3d 74 2c 72 3d 6e 2b 31 or(var n=t,r=n+1
00025760: 2c 6f 3d 65 2e 6c 65 6e 67 74 68 3b 72 3c 6f 3b ,o=e.length;r<o;
00025770: 6e 2b 3d 31 2c 72 2b 3d 31 29 65 5b 6e 5d 3d 65 n+=1,r+=1)e[n]=e
00025780: 5b 72 5d 3b 65 2e 70 6f 70 28 29 7d 76 61 72 20 [r];e.pop()}var
00025790: 44 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b D=function(e,t){
000257a0: 76 6f 69 64 20 30 3d 3d 3d 74 26 26 28 74 3d 22 void 0===t&&(t="
000257b0: 22 29 3b 76 61 72 20 6e 2c 72 3d 65 26 26 65 2e ");var n,r=e&&e.
000257c0: 73 70 6c 69 74 28 22 2f 22 29 7c 7c 5b 5d 2c 6f split("/")||[],o
000257d0: 3d 74 26 26 74 2e 73 70 6c 69 74 28 22 2f 22 29 =t&&t.split("/")
000257e0: 7c 7c 5b 5d 2c 69 3d 65 26 26 4d 28 65 29 2c 61 ||[],i=e&&M(e),a
000257f0: 3d 74 26 26 4d 28 74 29 2c 73 3d 69 7c 7c 61 3b =t&&M(t),s=i||a;
00025800: 69 66 28 65 26 26 4d 28 65 29 3f 6f 3d 72 3a 72 if(e&&M(e)?o=r:r
00025810: 2e 6c 65 6e 67 74 68 26 26 28 6f 2e 70 6f 70 28 .length&&(o.pop(
00025820: 29 2c 6f 3d 6f 2e 63 6f 6e 63 61 74 28 72 29 29 ),o=o.concat(r))
00025830: 2c 21 6f 2e 6c 65 6e 67 74 68 29 72 65 74 75 72 ,!o.length)retur
00025840: 6e 22 2f 22 3b 69 66 28 6f 2e 6c 65 6e 67 74 68 n"/";if(o.length
00025850: 29 7b 76 61 72 20 6c 3d 6f 5b 6f 2e 6c 65 6e 67 ){var l=o[o.leng
00025860: 74 68 2d 31 5d 3b 6e 3d 22 2e 22 3d 3d 3d 6c 7c th-1];n="."===l|
00025870: 7c 22 2e 2e 22 3d 3d 3d 6c 7c 7c 22 22 3d 3d 3d |".."===l||""===
00025880: 6c 7d 65 6c 73 65 20 6e 3d 21 31 3b 66 6f 72 28 l}else n=!1;for(
00025890: 76 61 72 20 63 3d 30 2c 75 3d 6f 2e 6c 65 6e 67 var c=0,u=o.leng
000258a0: 74 68 3b 75 3e 3d 30 3b 75 2d 2d 29 7b 76 61 72 th;u>=0;u--){var
000258b0: 20 64 3d 6f 5b 75 5d 3b 22 2e 22 3d 3d 3d 64 3f d=o[u];"."===d?
000258c0: 50 28 6f 2c 75 29 3a 22 2e 2e 22 3d 3d 3d 64 3f P(o,u):".."===d?
000258d0: 28 50 28 6f 2c 75 29 2c 63 2b 2b 29 3a 63 26 26 (P(o,u),c++):c&&
000258e0: 28 50 28 6f 2c 75 29 2c 63 2d 2d 29 7d 69 66 28 (P(o,u),c--)}if(
000258f0: 21 73 29 66 6f 72 28 3b 63 2d 2d 3b 63 29 6f 2e !s)for(;c--;c)o.
00025900: 75 6e 73 68 69 66 74 28 22 2e 2e 22 29 3b 21 73 unshift("..");!s
00025910: 7c 7c 22 22 3d 3d 3d 6f 5b 30 5d 7c 7c 6f 5b 30 ||""===o[0]||o[0
00025920: 5d 26 26 4d 28 6f 5b 30 5d 29 7c 7c 6f 2e 75 6e ]&&M(o[0])||o.un
00025930: 73 68 69 66 74 28 22 22 29 3b 76 61 72 20 70 3d shift("");var p=
00025940: 6f 2e 6a 6f 69 6e 28 22 2f 22 29 3b 72 65 74 75 o.join("/");retu
00025950: 72 6e 20 6e 26 26 22 2f 22 21 3d 3d 70 2e 73 75 rn n&&"/"!==p.su
00025960: 62 73 74 72 28 2d 31 29 26 26 28 70 2b 3d 22 2f bstr(-1)&&(p+="/
00025970: 22 29 2c 70 7d 2c 4c 3d 21 30 2c 46 3d 22 49 6e "),p},L=!0,F="In
00025980: 76 61 72 69 61 6e 74 20 66 61 69 6c 65 64 22 3b variant failed";
00025990: 66 75 6e 63 74 69 6f 6e 20 55 28 65 2c 74 29 7b function U(e,t){
000259a0: 69 66 28 21 65 29 7b 69 66 28 4c 29 74 68 72 6f if(!e){if(L)thro
000259b0: 77 20 6e 65 77 20 45 72 72 6f 72 28 46 29 3b 76 w new Error(F);v
000259c0: 61 72 20 6e 3d 22 66 75 6e 63 74 69 6f 6e 22 3d ar n="function"=
000259d0: 3d 74 79 70 65 6f 66 20 74 3f 74 28 29 3a 74 2c =typeof t?t():t,
000259e0: 72 3d 6e 3f 22 22 2e 63 6f 6e 63 61 74 28 46 2c r=n?"".concat(F,
000259f0: 22 3a 20 22 29 2e 63 6f 6e 63 61 74 28 6e 29 3a ": ").concat(n):
00025a00: 46 3b 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f F;throw new Erro
00025a10: 72 28 72 29 7d 7d 66 75 6e 63 74 69 6f 6e 20 42 r(r)}}function B
00025a20: 28 65 29 7b 72 65 74 75 72 6e 22 2f 22 3d 3d 3d (e){return"/"===
00025a30: 65 2e 63 68 61 72 41 74 28 30 29 3f 65 3a 22 2f e.charAt(0)?e:"/
00025a40: 22 2b 65 7d 66 75 6e 63 74 69 6f 6e 20 6a 28 65 "+e}function j(e
00025a50: 29 7b 72 65 74 75 72 6e 22 2f 22 3d 3d 3d 65 2e ){return"/"===e.
00025a60: 63 68 61 72 41 74 28 30 29 3f 65 2e 73 75 62 73 charAt(0)?e.subs
00025a70: 74 72 28 31 29 3a 65 7d 66 75 6e 63 74 69 6f 6e tr(1):e}function
00025a80: 20 7a 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 66 z(e,t){return f
00025a90: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 74 unction(e,t){ret
00025aa0: 75 72 6e 20 30 3d 3d 3d 65 2e 74 6f 4c 6f 77 65 urn 0===e.toLowe
00025ab0: 72 43 61 73 65 28 29 2e 69 6e 64 65 78 4f 66 28 rCase().indexOf(
00025ac0: 74 2e 74 6f 4c 6f 77 65 72 43 61 73 65 28 29 29 t.toLowerCase())
00025ad0: 26 26 2d 31 21 3d 3d 22 2f 3f 23 22 2e 69 6e 64 &&-1!=="/?#".ind
00025ae0: 65 78 4f 66 28 65 2e 63 68 61 72 41 74 28 74 2e exOf(e.charAt(t.
00025af0: 6c 65 6e 67 74 68 29 29 7d 28 65 2c 74 29 3f 65 length))}(e,t)?e
00025b00: 2e 73 75 62 73 74 72 28 74 2e 6c 65 6e 67 74 68 .substr(t.length
00025b10: 29 3a 65 7d 66 75 6e 63 74 69 6f 6e 20 47 28 65 ):e}function G(e
00025b20: 29 7b 72 65 74 75 72 6e 22 2f 22 3d 3d 3d 65 2e ){return"/"===e.
00025b30: 63 68 61 72 41 74 28 65 2e 6c 65 6e 67 74 68 2d charAt(e.length-
00025b40: 31 29 3f 65 2e 73 6c 69 63 65 28 30 2c 2d 31 29 1)?e.slice(0,-1)
00025b50: 3a 65 7d 66 75 6e 63 74 69 6f 6e 20 48 28 65 29 :e}function H(e)
00025b60: 7b 76 61 72 20 74 3d 65 2e 70 61 74 68 6e 61 6d {var t=e.pathnam
00025b70: 65 2c 6e 3d 65 2e 73 65 61 72 63 68 2c 72 3d 65 e,n=e.search,r=e
00025b80: 2e 68 61 73 68 2c 6f 3d 74 7c 7c 22 2f 22 3b 72 .hash,o=t||"/";r
00025b90: 65 74 75 72 6e 20 6e 26 26 22 3f 22 21 3d 3d 6e eturn n&&"?"!==n
00025ba0: 26 26 28 6f 2b 3d 22 3f 22 3d 3d 3d 6e 2e 63 68 &&(o+="?"===n.ch
00025bb0: 61 72 41 74 28 30 29 3f 6e 3a 22 3f 22 2b 6e 29 arAt(0)?n:"?"+n)
00025bc0: 2c 72 26 26 22 23 22 21 3d 3d 72 26 26 28 6f 2b ,r&&"#"!==r&&(o+
00025bd0: 3d 22 23 22 3d 3d 3d 72 2e 63 68 61 72 41 74 28 ="#"===r.charAt(
00025be0: 30 29 3f 72 3a 22 23 22 2b 72 29 2c 6f 7d 66 75 0)?r:"#"+r),o}fu
00025bf0: 6e 63 74 69 6f 6e 20 56 28 65 2c 74 2c 6e 2c 72 nction V(e,t,n,r
00025c00: 29 7b 76 61 72 20 6f 3b 22 73 74 72 69 6e 67 22 ){var o;"string"
00025c10: 3d 3d 74 79 70 65 6f 66 20 65 3f 28 6f 3d 66 75 ==typeof e?(o=fu
00025c20: 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d nction(e){var t=
00025c30: 65 7c 7c 22 2f 22 2c 6e 3d 22 22 2c 72 3d 22 22 e||"/",n="",r=""
00025c40: 2c 6f 3d 74 2e 69 6e 64 65 78 4f 66 28 22 23 22 ,o=t.indexOf("#"
00025c50: 29 3b 2d 31 21 3d 3d 6f 26 26 28 72 3d 74 2e 73 );-1!==o&&(r=t.s
00025c60: 75 62 73 74 72 28 6f 29 2c 74 3d 74 2e 73 75 62 ubstr(o),t=t.sub
00025c70: 73 74 72 28 30 2c 6f 29 29 3b 76 61 72 20 69 3d str(0,o));var i=
00025c80: 74 2e 69 6e 64 65 78 4f 66 28 22 3f 22 29 3b 72 t.indexOf("?");r
00025c90: 65 74 75 72 6e 2d 31 21 3d 3d 69 26 26 28 6e 3d eturn-1!==i&&(n=
00025ca0: 74 2e 73 75 62 73 74 72 28 69 29 2c 74 3d 74 2e t.substr(i),t=t.
00025cb0: 73 75 62 73 74 72 28 30 2c 69 29 29 2c 7b 70 61 substr(0,i)),{pa
00025cc0: 74 68 6e 61 6d 65 3a 74 2c 73 65 61 72 63 68 3a thname:t,search:
00025cd0: 22 3f 22 3d 3d 3d 6e 3f 22 22 3a 6e 2c 68 61 73 "?"===n?"":n,has
00025ce0: 68 3a 22 23 22 3d 3d 3d 72 3f 22 22 3a 72 7d 7d h:"#"===r?"":r}}
00025cf0: 28 65 29 2c 6f 2e 73 74 61 74 65 3d 74 29 3a 28 (e),o.state=t):(
00025d00: 76 6f 69 64 20 30 3d 3d 3d 28 6f 3d 49 28 7b 7d void 0===(o=I({}
00025d10: 2c 65 29 29 2e 70 61 74 68 6e 61 6d 65 26 26 28 ,e)).pathname&&(
00025d20: 6f 2e 70 61 74 68 6e 61 6d 65 3d 22 22 29 2c 6f o.pathname=""),o
00025d30: 2e 73 65 61 72 63 68 3f 22 3f 22 21 3d 3d 6f 2e .search?"?"!==o.
00025d40: 73 65 61 72 63 68 2e 63 68 61 72 41 74 28 30 29 search.charAt(0)
00025d50: 26 26 28 6f 2e 73 65 61 72 63 68 3d 22 3f 22 2b &&(o.search="?"+
00025d60: 6f 2e 73 65 61 72 63 68 29 3a 6f 2e 73 65 61 72 o.search):o.sear
00025d70: 63 68 3d 22 22 2c 6f 2e 68 61 73 68 3f 22 23 22 ch="",o.hash?"#"
00025d80: 21 3d 3d 6f 2e 68 61 73 68 2e 63 68 61 72 41 74 !==o.hash.charAt
00025d90: 28 30 29 26 26 28 6f 2e 68 61 73 68 3d 22 23 22 (0)&&(o.hash="#"
00025da0: 2b 6f 2e 68 61 73 68 29 3a 6f 2e 68 61 73 68 3d +o.hash):o.hash=
00025db0: 22 22 2c 76 6f 69 64 20 30 21 3d 3d 74 26 26 76 "",void 0!==t&&v
00025dc0: 6f 69 64 20 30 3d 3d 3d 6f 2e 73 74 61 74 65 26 oid 0===o.state&
00025dd0: 26 28 6f 2e 73 74 61 74 65 3d 74 29 29 3b 74 72 &(o.state=t));tr
00025de0: 79 7b 6f 2e 70 61 74 68 6e 61 6d 65 3d 64 65 63 y{o.pathname=dec
00025df0: 6f 64 65 55 52 49 28 6f 2e 70 61 74 68 6e 61 6d odeURI(o.pathnam
00025e00: 65 29 7d 63 61 74 63 68 28 65 29 7b 74 68 72 6f e)}catch(e){thro
00025e10: 77 20 65 20 69 6e 73 74 61 6e 63 65 6f 66 20 55 w e instanceof U
00025e20: 52 49 45 72 72 6f 72 3f 6e 65 77 20 55 52 49 45 RIError?new URIE
00025e30: 72 72 6f 72 28 27 50 61 74 68 6e 61 6d 65 20 22 rror('Pathname "
00025e40: 27 2b 6f 2e 70 61 74 68 6e 61 6d 65 2b 27 22 20 '+o.pathname+'"
00025e50: 63 6f 75 6c 64 20 6e 6f 74 20 62 65 20 64 65 63 could not be dec
00025e60: 6f 64 65 64 2e 20 54 68 69 73 20 69 73 20 6c 69 oded. This is li
00025e70: 6b 65 6c 79 20 63 61 75 73 65 64 20 62 79 20 61 kely caused by a
00025e80: 6e 20 69 6e 76 61 6c 69 64 20 70 65 72 63 65 6e n invalid percen
00025e90: 74 2d 65 6e 63 6f 64 69 6e 67 2e 27 29 3a 65 7d t-encoding.'):e}
00025ea0: 72 65 74 75 72 6e 20 6e 26 26 28 6f 2e 6b 65 79 return n&&(o.key
00025eb0: 3d 6e 29 2c 72 3f 6f 2e 70 61 74 68 6e 61 6d 65 =n),r?o.pathname
00025ec0: 3f 22 2f 22 21 3d 3d 6f 2e 70 61 74 68 6e 61 6d ?"/"!==o.pathnam
00025ed0: 65 2e 63 68 61 72 41 74 28 30 29 26 26 28 6f 2e e.charAt(0)&&(o.
00025ee0: 70 61 74 68 6e 61 6d 65 3d 44 28 6f 2e 70 61 74 pathname=D(o.pat
00025ef0: 68 6e 61 6d 65 2c 72 2e 70 61 74 68 6e 61 6d 65 hname,r.pathname
00025f00: 29 29 3a 6f 2e 70 61 74 68 6e 61 6d 65 3d 72 2e )):o.pathname=r.
00025f10: 70 61 74 68 6e 61 6d 65 3a 6f 2e 70 61 74 68 6e pathname:o.pathn
00025f20: 61 6d 65 7c 7c 28 6f 2e 70 61 74 68 6e 61 6d 65 ame||(o.pathname
00025f30: 3d 22 2f 22 29 2c 6f 7d 66 75 6e 63 74 69 6f 6e ="/"),o}function
00025f40: 20 71 28 29 7b 76 61 72 20 65 3d 6e 75 6c 6c 3b q(){var e=null;
00025f50: 76 61 72 20 74 3d 5b 5d 3b 72 65 74 75 72 6e 7b var t=[];return{
00025f60: 73 65 74 50 72 6f 6d 70 74 3a 66 75 6e 63 74 69 setPrompt:functi
00025f70: 6f 6e 28 74 29 7b 72 65 74 75 72 6e 20 65 3d 74 on(t){return e=t
00025f80: 2c 66 75 6e 63 74 69 6f 6e 28 29 7b 65 3d 3d 3d ,function(){e===
00025f90: 74 26 26 28 65 3d 6e 75 6c 6c 29 7d 7d 2c 63 6f t&&(e=null)}},co
00025fa0: 6e 66 69 72 6d 54 72 61 6e 73 69 74 69 6f 6e 54 nfirmTransitionT
00025fb0: 6f 3a 66 75 6e 63 74 69 6f 6e 28 74 2c 6e 2c 72 o:function(t,n,r
00025fc0: 2c 6f 29 7b 69 66 28 6e 75 6c 6c 21 3d 65 29 7b ,o){if(null!=e){
00025fd0: 76 61 72 20 69 3d 22 66 75 6e 63 74 69 6f 6e 22 var i="function"
00025fe0: 3d 3d 74 79 70 65 6f 66 20 65 3f 65 28 74 2c 6e ==typeof e?e(t,n
00025ff0: 29 3a 65 3b 22 73 74 72 69 6e 67 22 3d 3d 74 79 ):e;"string"==ty
00026000: 70 65 6f 66 20 69 3f 22 66 75 6e 63 74 69 6f 6e peof i?"function
00026010: 22 3d 3d 74 79 70 65 6f 66 20 72 3f 72 28 69 2c "==typeof r?r(i,
00026020: 6f 29 3a 6f 28 21 30 29 3a 6f 28 21 31 21 3d 3d o):o(!0):o(!1!==
00026030: 69 29 7d 65 6c 73 65 20 6f 28 21 30 29 7d 2c 61 i)}else o(!0)},a
00026040: 70 70 65 6e 64 4c 69 73 74 65 6e 65 72 3a 66 75 ppendListener:fu
00026050: 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 6e 3d nction(e){var n=
00026060: 21 30 3b 66 75 6e 63 74 69 6f 6e 20 72 28 29 7b !0;function r(){
00026070: 6e 26 26 65 2e 61 70 70 6c 79 28 76 6f 69 64 20 n&&e.apply(void
00026080: 30 2c 61 72 67 75 6d 65 6e 74 73 29 7d 72 65 74 0,arguments)}ret
00026090: 75 72 6e 20 74 2e 70 75 73 68 28 72 29 2c 66 75 urn t.push(r),fu
000260a0: 6e 63 74 69 6f 6e 28 29 7b 6e 3d 21 31 2c 74 3d nction(){n=!1,t=
000260b0: 74 2e 66 69 6c 74 65 72 28 28 66 75 6e 63 74 69 t.filter((functi
000260c0: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 65 21 3d on(e){return e!=
000260d0: 3d 72 7d 29 29 7d 7d 2c 6e 6f 74 69 66 79 4c 69 =r}))}},notifyLi
000260e0: 73 74 65 6e 65 72 73 3a 66 75 6e 63 74 69 6f 6e steners:function
000260f0: 28 29 7b 66 6f 72 28 76 61 72 20 65 3d 61 72 67 (){for(var e=arg
00026100: 75 6d 65 6e 74 73 2e 6c 65 6e 67 74 68 2c 6e 3d uments.length,n=
00026110: 6e 65 77 20 41 72 72 61 79 28 65 29 2c 72 3d 30 new Array(e),r=0
00026120: 3b 72 3c 65 3b 72 2b 2b 29 6e 5b 72 5d 3d 61 72 ;r<e;r++)n[r]=ar
00026130: 67 75 6d 65 6e 74 73 5b 72 5d 3b 74 2e 66 6f 72 guments[r];t.for
00026140: 45 61 63 68 28 28 66 75 6e 63 74 69 6f 6e 28 65 Each((function(e
00026150: 29 7b 72 65 74 75 72 6e 20 65 2e 61 70 70 6c 79 ){return e.apply
00026160: 28 76 6f 69 64 20 30 2c 6e 29 7d 29 29 7d 7d 7d (void 0,n)}))}}}
00026170: 76 61 72 20 57 3d 21 28 22 75 6e 64 65 66 69 6e var W=!("undefin
00026180: 65 64 22 3d 3d 74 79 70 65 6f 66 20 77 69 6e 64 ed"==typeof wind
00026190: 6f 77 7c 7c 21 77 69 6e 64 6f 77 2e 64 6f 63 75 ow||!window.docu
000261a0: 6d 65 6e 74 7c 7c 21 77 69 6e 64 6f 77 2e 64 6f ment||!window.do
000261b0: 63 75 6d 65 6e 74 2e 63 72 65 61 74 65 45 6c 65 cument.createEle
000261c0: 6d 65 6e 74 29 3b 66 75 6e 63 74 69 6f 6e 20 59 ment);function Y
000261d0: 28 65 2c 74 29 7b 74 28 77 69 6e 64 6f 77 2e 63 (e,t){t(window.c
000261e0: 6f 6e 66 69 72 6d 28 65 29 29 7d 76 61 72 20 4b onfirm(e))}var K
000261f0: 3d 22 70 6f 70 73 74 61 74 65 22 2c 5a 3d 22 68 ="popstate",Z="h
00026200: 61 73 68 63 68 61 6e 67 65 22 3b 66 75 6e 63 74 ashchange";funct
00026210: 69 6f 6e 20 58 28 29 7b 74 72 79 7b 72 65 74 75 ion X(){try{retu
00026220: 72 6e 20 77 69 6e 64 6f 77 2e 68 69 73 74 6f 72 rn window.histor
00026230: 79 2e 73 74 61 74 65 7c 7c 7b 7d 7d 63 61 74 63 y.state||{}}catc
00026240: 68 28 65 29 7b 72 65 74 75 72 6e 7b 7d 7d 7d 66 h(e){return{}}}f
00026250: 75 6e 63 74 69 6f 6e 20 51 28 65 29 7b 76 6f 69 unction Q(e){voi
00026260: 64 20 30 3d 3d 3d 65 26 26 28 65 3d 7b 7d 29 2c d 0===e&&(e={}),
00026270: 57 7c 7c 55 28 21 31 29 3b 76 61 72 20 74 2c 6e W||U(!1);var t,n
00026280: 3d 77 69 6e 64 6f 77 2e 68 69 73 74 6f 72 79 2c =window.history,
00026290: 72 3d 28 2d 31 3d 3d 3d 28 74 3d 77 69 6e 64 6f r=(-1===(t=windo
000262a0: 77 2e 6e 61 76 69 67 61 74 6f 72 2e 75 73 65 72 w.navigator.user
000262b0: 41 67 65 6e 74 29 2e 69 6e 64 65 78 4f 66 28 22 Agent).indexOf("
000262c0: 41 6e 64 72 6f 69 64 20 32 2e 22 29 26 26 2d 31 Android 2.")&&-1
000262d0: 3d 3d 3d 74 2e 69 6e 64 65 78 4f 66 28 22 41 6e ===t.indexOf("An
000262e0: 64 72 6f 69 64 20 34 2e 30 22 29 7c 7c 2d 31 3d droid 4.0")||-1=
000262f0: 3d 3d 74 2e 69 6e 64 65 78 4f 66 28 22 4d 6f 62 ==t.indexOf("Mob
00026300: 69 6c 65 20 53 61 66 61 72 69 22 29 7c 7c 2d 31 ile Safari")||-1
00026310: 21 3d 3d 74 2e 69 6e 64 65 78 4f 66 28 22 43 68 !==t.indexOf("Ch
00026320: 72 6f 6d 65 22 29 7c 7c 2d 31 21 3d 3d 74 2e 69 rome")||-1!==t.i
00026330: 6e 64 65 78 4f 66 28 22 57 69 6e 64 6f 77 73 20 ndexOf("Windows
00026340: 50 68 6f 6e 65 22 29 29 26 26 77 69 6e 64 6f 77 Phone"))&&window
00026350: 2e 68 69 73 74 6f 72 79 26 26 22 70 75 73 68 53 .history&&"pushS
00026360: 74 61 74 65 22 69 6e 20 77 69 6e 64 6f 77 2e 68 tate"in window.h
00026370: 69 73 74 6f 72 79 2c 6f 3d 21 28 2d 31 3d 3d 3d istory,o=!(-1===
00026380: 77 69 6e 64 6f 77 2e 6e 61 76 69 67 61 74 6f 72 window.navigator
00026390: 2e 75 73 65 72 41 67 65 6e 74 2e 69 6e 64 65 78 .userAgent.index
000263a0: 4f 66 28 22 54 72 69 64 65 6e 74 22 29 29 2c 69 Of("Trident")),i
000263b0: 3d 65 2c 61 3d 69 2e 66 6f 72 63 65 52 65 66 72 =e,a=i.forceRefr
000263c0: 65 73 68 2c 73 3d 76 6f 69 64 20 30 21 3d 3d 61 esh,s=void 0!==a
000263d0: 26 26 61 2c 6c 3d 69 2e 67 65 74 55 73 65 72 43 &&a,l=i.getUserC
000263e0: 6f 6e 66 69 72 6d 61 74 69 6f 6e 2c 63 3d 76 6f onfirmation,c=vo
000263f0: 69 64 20 30 3d 3d 3d 6c 3f 59 3a 6c 2c 75 3d 69 id 0===l?Y:l,u=i
00026400: 2e 6b 65 79 4c 65 6e 67 74 68 2c 64 3d 76 6f 69 .keyLength,d=voi
00026410: 64 20 30 3d 3d 3d 75 3f 36 3a 75 2c 70 3d 65 2e d 0===u?6:u,p=e.
00026420: 62 61 73 65 6e 61 6d 65 3f 47 28 42 28 65 2e 62 basename?G(B(e.b
00026430: 61 73 65 6e 61 6d 65 29 29 3a 22 22 3b 66 75 6e asename)):"";fun
00026440: 63 74 69 6f 6e 20 66 28 65 29 7b 76 61 72 20 74 ction f(e){var t
00026450: 3d 65 7c 7c 7b 7d 2c 6e 3d 74 2e 6b 65 79 2c 72 =e||{},n=t.key,r
00026460: 3d 74 2e 73 74 61 74 65 2c 6f 3d 77 69 6e 64 6f =t.state,o=windo
00026470: 77 2e 6c 6f 63 61 74 69 6f 6e 2c 69 3d 6f 2e 70 w.location,i=o.p
00026480: 61 74 68 6e 61 6d 65 2b 6f 2e 73 65 61 72 63 68 athname+o.search
00026490: 2b 6f 2e 68 61 73 68 3b 72 65 74 75 72 6e 20 70 +o.hash;return p
000264a0: 26 26 28 69 3d 7a 28 69 2c 70 29 29 2c 56 28 69 &&(i=z(i,p)),V(i
000264b0: 2c 72 2c 6e 29 7d 66 75 6e 63 74 69 6f 6e 20 6d ,r,n)}function m
000264c0: 28 29 7b 72 65 74 75 72 6e 20 4d 61 74 68 2e 72 (){return Math.r
000264d0: 61 6e 64 6f 6d 28 29 2e 74 6f 53 74 72 69 6e 67 andom().toString
000264e0: 28 33 36 29 2e 73 75 62 73 74 72 28 32 2c 64 29 (36).substr(2,d)
000264f0: 7d 76 61 72 20 68 3d 71 28 29 3b 66 75 6e 63 74 }var h=q();funct
00026500: 69 6f 6e 20 67 28 65 29 7b 49 28 52 2c 65 29 2c ion g(e){I(R,e),
00026510: 52 2e 6c 65 6e 67 74 68 3d 6e 2e 6c 65 6e 67 74 R.length=n.lengt
00026520: 68 2c 68 2e 6e 6f 74 69 66 79 4c 69 73 74 65 6e h,h.notifyListen
00026530: 65 72 73 28 52 2e 6c 6f 63 61 74 69 6f 6e 2c 52 ers(R.location,R
00026540: 2e 61 63 74 69 6f 6e 29 7d 66 75 6e 63 74 69 6f .action)}functio
00026550: 6e 20 62 28 65 29 7b 28 66 75 6e 63 74 69 6f 6e n b(e){(function
00026560: 28 65 29 7b 72 65 74 75 72 6e 20 76 6f 69 64 20 (e){return void
00026570: 30 3d 3d 3d 65 2e 73 74 61 74 65 26 26 2d 31 3d 0===e.state&&-1=
00026580: 3d 3d 6e 61 76 69 67 61 74 6f 72 2e 75 73 65 72 ==navigator.user
00026590: 41 67 65 6e 74 2e 69 6e 64 65 78 4f 66 28 22 43 Agent.indexOf("C
000265a0: 72 69 4f 53 22 29 7d 29 28 65 29 7c 7c 45 28 66 riOS")})(e)||E(f
000265b0: 28 65 2e 73 74 61 74 65 29 29 7d 66 75 6e 63 74 (e.state))}funct
000265c0: 69 6f 6e 20 76 28 29 7b 45 28 66 28 58 28 29 29 ion v(){E(f(X())
000265d0: 29 7d 76 61 72 20 79 3d 21 31 3b 66 75 6e 63 74 )}var y=!1;funct
000265e0: 69 6f 6e 20 45 28 65 29 7b 69 66 28 79 29 79 3d ion E(e){if(y)y=
000265f0: 21 31 2c 67 28 29 3b 65 6c 73 65 7b 68 2e 63 6f !1,g();else{h.co
00026600: 6e 66 69 72 6d 54 72 61 6e 73 69 74 69 6f 6e 54 nfirmTransitionT
00026610: 6f 28 65 2c 22 50 4f 50 22 2c 63 2c 28 66 75 6e o(e,"POP",c,(fun
00026620: 63 74 69 6f 6e 28 74 29 7b 74 3f 67 28 7b 61 63 ction(t){t?g({ac
00026630: 74 69 6f 6e 3a 22 50 4f 50 22 2c 6c 6f 63 61 74 tion:"POP",locat
00026640: 69 6f 6e 3a 65 7d 29 3a 66 75 6e 63 74 69 6f 6e ion:e}):function
00026650: 28 65 29 7b 76 61 72 20 74 3d 52 2e 6c 6f 63 61 (e){var t=R.loca
00026660: 74 69 6f 6e 2c 6e 3d 53 2e 69 6e 64 65 78 4f 66 tion,n=S.indexOf
00026670: 28 74 2e 6b 65 79 29 3b 2d 31 3d 3d 3d 6e 26 26 (t.key);-1===n&&
00026680: 28 6e 3d 30 29 3b 76 61 72 20 72 3d 53 2e 69 6e (n=0);var r=S.in
00026690: 64 65 78 4f 66 28 65 2e 6b 65 79 29 3b 2d 31 3d dexOf(e.key);-1=
000266a0: 3d 3d 72 26 26 28 72 3d 30 29 3b 76 61 72 20 6f ==r&&(r=0);var o
000266b0: 3d 6e 2d 72 3b 6f 26 26 28 79 3d 21 30 2c 54 28 =n-r;o&&(y=!0,T(
000266c0: 6f 29 29 7d 28 65 29 7d 29 29 7d 7d 76 61 72 20 o))}(e)}))}}var
000266d0: 5f 3d 66 28 58 28 29 29 2c 53 3d 5b 5f 2e 6b 65 _=f(X()),S=[_.ke
000266e0: 79 5d 3b 66 75 6e 63 74 69 6f 6e 20 77 28 65 29 y];function w(e)
000266f0: 7b 72 65 74 75 72 6e 20 70 2b 48 28 65 29 7d 66 {return p+H(e)}f
00026700: 75 6e 63 74 69 6f 6e 20 54 28 65 29 7b 6e 2e 67 unction T(e){n.g
00026710: 6f 28 65 29 7d 76 61 72 20 78 3d 30 3b 66 75 6e o(e)}var x=0;fun
00026720: 63 74 69 6f 6e 20 41 28 65 29 7b 31 3d 3d 3d 28 ction A(e){1===(
00026730: 78 2b 3d 65 29 26 26 31 3d 3d 3d 65 3f 28 77 69 x+=e)&&1===e?(wi
00026740: 6e 64 6f 77 2e 61 64 64 45 76 65 6e 74 4c 69 73 ndow.addEventLis
00026750: 74 65 6e 65 72 28 4b 2c 62 29 2c 6f 26 26 77 69 tener(K,b),o&&wi
00026760: 6e 64 6f 77 2e 61 64 64 45 76 65 6e 74 4c 69 73 ndow.addEventLis
00026770: 74 65 6e 65 72 28 5a 2c 76 29 29 3a 30 3d 3d 3d tener(Z,v)):0===
00026780: 78 26 26 28 77 69 6e 64 6f 77 2e 72 65 6d 6f 76 x&&(window.remov
00026790: 65 45 76 65 6e 74 4c 69 73 74 65 6e 65 72 28 4b eEventListener(K
000267a0: 2c 62 29 2c 6f 26 26 77 69 6e 64 6f 77 2e 72 65 ,b),o&&window.re
000267b0: 6d 6f 76 65 45 76 65 6e 74 4c 69 73 74 65 6e 65 moveEventListene
000267c0: 72 28 5a 2c 76 29 29 7d 76 61 72 20 43 3d 21 31 r(Z,v))}var C=!1
000267d0: 3b 76 61 72 20 52 3d 7b 6c 65 6e 67 74 68 3a 6e ;var R={length:n
000267e0: 2e 6c 65 6e 67 74 68 2c 61 63 74 69 6f 6e 3a 22 .length,action:"
000267f0: 50 4f 50 22 2c 6c 6f 63 61 74 69 6f 6e 3a 5f 2c POP",location:_,
00026800: 63 72 65 61 74 65 48 72 65 66 3a 77 2c 70 75 73 createHref:w,pus
00026810: 68 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b h:function(e,t){
00026820: 76 61 72 20 6f 3d 22 50 55 53 48 22 2c 69 3d 56 var o="PUSH",i=V
00026830: 28 65 2c 74 2c 6d 28 29 2c 52 2e 6c 6f 63 61 74 (e,t,m(),R.locat
00026840: 69 6f 6e 29 3b 68 2e 63 6f 6e 66 69 72 6d 54 72 ion);h.confirmTr
00026850: 61 6e 73 69 74 69 6f 6e 54 6f 28 69 2c 6f 2c 63 ansitionTo(i,o,c
00026860: 2c 28 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 66 ,(function(e){if
00026870: 28 65 29 7b 76 61 72 20 74 3d 77 28 69 29 2c 61 (e){var t=w(i),a
00026880: 3d 69 2e 6b 65 79 2c 6c 3d 69 2e 73 74 61 74 65 =i.key,l=i.state
00026890: 3b 69 66 28 72 29 69 66 28 6e 2e 70 75 73 68 53 ;if(r)if(n.pushS
000268a0: 74 61 74 65 28 7b 6b 65 79 3a 61 2c 73 74 61 74 tate({key:a,stat
000268b0: 65 3a 6c 7d 2c 6e 75 6c 6c 2c 74 29 2c 73 29 77 e:l},null,t),s)w
000268c0: 69 6e 64 6f 77 2e 6c 6f 63 61 74 69 6f 6e 2e 68 indow.location.h
000268d0: 72 65 66 3d 74 3b 65 6c 73 65 7b 76 61 72 20 63 ref=t;else{var c
000268e0: 3d 53 2e 69 6e 64 65 78 4f 66 28 52 2e 6c 6f 63 =S.indexOf(R.loc
000268f0: 61 74 69 6f 6e 2e 6b 65 79 29 2c 75 3d 53 2e 73 ation.key),u=S.s
00026900: 6c 69 63 65 28 30 2c 63 2b 31 29 3b 75 2e 70 75 lice(0,c+1);u.pu
00026910: 73 68 28 69 2e 6b 65 79 29 2c 53 3d 75 2c 67 28 sh(i.key),S=u,g(
00026920: 7b 61 63 74 69 6f 6e 3a 6f 2c 6c 6f 63 61 74 69 {action:o,locati
00026930: 6f 6e 3a 69 7d 29 7d 65 6c 73 65 20 77 69 6e 64 on:i})}else wind
00026940: 6f 77 2e 6c 6f 63 61 74 69 6f 6e 2e 68 72 65 66 ow.location.href
00026950: 3d 74 7d 7d 29 29 7d 2c 72 65 70 6c 61 63 65 3a =t}}))},replace:
00026960: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 76 61 function(e,t){va
00026970: 72 20 6f 3d 22 52 45 50 4c 41 43 45 22 2c 69 3d r o="REPLACE",i=
00026980: 56 28 65 2c 74 2c 6d 28 29 2c 52 2e 6c 6f 63 61 V(e,t,m(),R.loca
00026990: 74 69 6f 6e 29 3b 68 2e 63 6f 6e 66 69 72 6d 54 tion);h.confirmT
000269a0: 72 61 6e 73 69 74 69 6f 6e 54 6f 28 69 2c 6f 2c ransitionTo(i,o,
000269b0: 63 2c 28 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 c,(function(e){i
000269c0: 66 28 65 29 7b 76 61 72 20 74 3d 77 28 69 29 2c f(e){var t=w(i),
000269d0: 61 3d 69 2e 6b 65 79 2c 6c 3d 69 2e 73 74 61 74 a=i.key,l=i.stat
000269e0: 65 3b 69 66 28 72 29 69 66 28 6e 2e 72 65 70 6c e;if(r)if(n.repl
000269f0: 61 63 65 53 74 61 74 65 28 7b 6b 65 79 3a 61 2c aceState({key:a,
00026a00: 73 74 61 74 65 3a 6c 7d 2c 6e 75 6c 6c 2c 74 29 state:l},null,t)
00026a10: 2c 73 29 77 69 6e 64 6f 77 2e 6c 6f 63 61 74 69 ,s)window.locati
00026a20: 6f 6e 2e 72 65 70 6c 61 63 65 28 74 29 3b 65 6c on.replace(t);el
00026a30: 73 65 7b 76 61 72 20 63 3d 53 2e 69 6e 64 65 78 se{var c=S.index
00026a40: 4f 66 28 52 2e 6c 6f 63 61 74 69 6f 6e 2e 6b 65 Of(R.location.ke
00026a50: 79 29 3b 2d 31 21 3d 3d 63 26 26 28 53 5b 63 5d y);-1!==c&&(S[c]
00026a60: 3d 69 2e 6b 65 79 29 2c 67 28 7b 61 63 74 69 6f =i.key),g({actio
00026a70: 6e 3a 6f 2c 6c 6f 63 61 74 69 6f 6e 3a 69 7d 29 n:o,location:i})
00026a80: 7d 65 6c 73 65 20 77 69 6e 64 6f 77 2e 6c 6f 63 }else window.loc
00026a90: 61 74 69 6f 6e 2e 72 65 70 6c 61 63 65 28 74 29 ation.replace(t)
00026aa0: 7d 7d 29 29 7d 2c 67 6f 3a 54 2c 67 6f 42 61 63 }}))},go:T,goBac
00026ab0: 6b 3a 66 75 6e 63 74 69 6f 6e 28 29 7b 54 28 2d k:function(){T(-
00026ac0: 31 29 7d 2c 67 6f 46 6f 72 77 61 72 64 3a 66 75 1)},goForward:fu
00026ad0: 6e 63 74 69 6f 6e 28 29 7b 54 28 31 29 7d 2c 62 nction(){T(1)},b
00026ae0: 6c 6f 63 6b 3a 66 75 6e 63 74 69 6f 6e 28 65 29 lock:function(e)
00026af0: 7b 76 6f 69 64 20 30 3d 3d 3d 65 26 26 28 65 3d {void 0===e&&(e=
00026b00: 21 31 29 3b 76 61 72 20 74 3d 68 2e 73 65 74 50 !1);var t=h.setP
00026b10: 72 6f 6d 70 74 28 65 29 3b 72 65 74 75 72 6e 20 rompt(e);return
00026b20: 43 7c 7c 28 41 28 31 29 2c 43 3d 21 30 29 2c 66 C||(A(1),C=!0),f
00026b30: 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e unction(){return
00026b40: 20 43 26 26 28 43 3d 21 31 2c 41 28 2d 31 29 29 C&&(C=!1,A(-1))
00026b50: 2c 74 28 29 7d 7d 2c 6c 69 73 74 65 6e 3a 66 75 ,t()}},listen:fu
00026b60: 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d nction(e){var t=
00026b70: 68 2e 61 70 70 65 6e 64 4c 69 73 74 65 6e 65 72 h.appendListener
00026b80: 28 65 29 3b 72 65 74 75 72 6e 20 41 28 31 29 2c (e);return A(1),
00026b90: 66 75 6e 63 74 69 6f 6e 28 29 7b 41 28 2d 31 29 function(){A(-1)
00026ba0: 2c 74 28 29 7d 7d 7d 3b 72 65 74 75 72 6e 20 52 ,t()}}};return R
00026bb0: 7d 76 61 72 20 4a 3d 22 68 61 73 68 63 68 61 6e }var J="hashchan
00026bc0: 67 65 22 2c 24 3d 7b 68 61 73 68 62 61 6e 67 3a ge",$={hashbang:
00026bd0: 7b 65 6e 63 6f 64 65 50 61 74 68 3a 66 75 6e 63 {encodePath:func
00026be0: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 22 21 tion(e){return"!
00026bf0: 22 3d 3d 3d 65 2e 63 68 61 72 41 74 28 30 29 3f "===e.charAt(0)?
00026c00: 65 3a 22 21 2f 22 2b 6a 28 65 29 7d 2c 64 65 63 e:"!/"+j(e)},dec
00026c10: 6f 64 65 50 61 74 68 3a 66 75 6e 63 74 69 6f 6e odePath:function
00026c20: 28 65 29 7b 72 65 74 75 72 6e 22 21 22 3d 3d 3d (e){return"!"===
00026c30: 65 2e 63 68 61 72 41 74 28 30 29 3f 65 2e 73 75 e.charAt(0)?e.su
00026c40: 62 73 74 72 28 31 29 3a 65 7d 7d 2c 6e 6f 73 6c bstr(1):e}},nosl
00026c50: 61 73 68 3a 7b 65 6e 63 6f 64 65 50 61 74 68 3a ash:{encodePath:
00026c60: 6a 2c 64 65 63 6f 64 65 50 61 74 68 3a 42 7d 2c j,decodePath:B},
00026c70: 73 6c 61 73 68 3a 7b 65 6e 63 6f 64 65 50 61 74 slash:{encodePat
00026c80: 68 3a 42 2c 64 65 63 6f 64 65 50 61 74 68 3a 42 h:B,decodePath:B
00026c90: 7d 7d 3b 66 75 6e 63 74 69 6f 6e 20 65 65 28 65 }};function ee(e
00026ca0: 29 7b 76 61 72 20 74 3d 65 2e 69 6e 64 65 78 4f ){var t=e.indexO
00026cb0: 66 28 22 23 22 29 3b 72 65 74 75 72 6e 2d 31 3d f("#");return-1=
00026cc0: 3d 3d 74 3f 65 3a 65 2e 73 6c 69 63 65 28 30 2c ==t?e:e.slice(0,
00026cd0: 74 29 7d 66 75 6e 63 74 69 6f 6e 20 74 65 28 29 t)}function te()
00026ce0: 7b 76 61 72 20 65 3d 77 69 6e 64 6f 77 2e 6c 6f {var e=window.lo
00026cf0: 63 61 74 69 6f 6e 2e 68 72 65 66 2c 74 3d 65 2e cation.href,t=e.
00026d00: 69 6e 64 65 78 4f 66 28 22 23 22 29 3b 72 65 74 indexOf("#");ret
00026d10: 75 72 6e 2d 31 3d 3d 3d 74 3f 22 22 3a 65 2e 73 urn-1===t?"":e.s
00026d20: 75 62 73 74 72 69 6e 67 28 74 2b 31 29 7d 66 75 ubstring(t+1)}fu
00026d30: 6e 63 74 69 6f 6e 20 6e 65 28 65 29 7b 77 69 6e nction ne(e){win
00026d40: 64 6f 77 2e 6c 6f 63 61 74 69 6f 6e 2e 72 65 70 dow.location.rep
00026d50: 6c 61 63 65 28 65 65 28 77 69 6e 64 6f 77 2e 6c lace(ee(window.l
00026d60: 6f 63 61 74 69 6f 6e 2e 68 72 65 66 29 2b 22 23 ocation.href)+"#
00026d70: 22 2b 65 29 7d 66 75 6e 63 74 69 6f 6e 20 72 65 "+e)}function re
00026d80: 28 65 29 7b 76 6f 69 64 20 30 3d 3d 3d 65 26 26 (e){void 0===e&&
00026d90: 28 65 3d 7b 7d 29 2c 57 7c 7c 55 28 21 31 29 3b (e={}),W||U(!1);
00026da0: 76 61 72 20 74 3d 77 69 6e 64 6f 77 2e 68 69 73 var t=window.his
00026db0: 74 6f 72 79 2c 6e 3d 28 77 69 6e 64 6f 77 2e 6e tory,n=(window.n
00026dc0: 61 76 69 67 61 74 6f 72 2e 75 73 65 72 41 67 65 avigator.userAge
00026dd0: 6e 74 2e 69 6e 64 65 78 4f 66 28 22 46 69 72 65 nt.indexOf("Fire
00026de0: 66 6f 78 22 29 2c 65 29 2c 72 3d 6e 2e 67 65 74 fox"),e),r=n.get
00026df0: 55 73 65 72 43 6f 6e 66 69 72 6d 61 74 69 6f 6e UserConfirmation
00026e00: 2c 6f 3d 76 6f 69 64 20 30 3d 3d 3d 72 3f 59 3a ,o=void 0===r?Y:
00026e10: 72 2c 69 3d 6e 2e 68 61 73 68 54 79 70 65 2c 61 r,i=n.hashType,a
00026e20: 3d 76 6f 69 64 20 30 3d 3d 3d 69 3f 22 73 6c 61 =void 0===i?"sla
00026e30: 73 68 22 3a 69 2c 73 3d 65 2e 62 61 73 65 6e 61 sh":i,s=e.basena
00026e40: 6d 65 3f 47 28 42 28 65 2e 62 61 73 65 6e 61 6d me?G(B(e.basenam
00026e50: 65 29 29 3a 22 22 2c 6c 3d 24 5b 61 5d 2c 63 3d e)):"",l=$[a],c=
00026e60: 6c 2e 65 6e 63 6f 64 65 50 61 74 68 2c 75 3d 6c l.encodePath,u=l
00026e70: 2e 64 65 63 6f 64 65 50 61 74 68 3b 66 75 6e 63 .decodePath;func
00026e80: 74 69 6f 6e 20 64 28 29 7b 76 61 72 20 65 3d 75 tion d(){var e=u
00026e90: 28 74 65 28 29 29 3b 72 65 74 75 72 6e 20 73 26 (te());return s&
00026ea0: 26 28 65 3d 7a 28 65 2c 73 29 29 2c 56 28 65 29 &(e=z(e,s)),V(e)
00026eb0: 7d 76 61 72 20 70 3d 71 28 29 3b 66 75 6e 63 74 }var p=q();funct
00026ec0: 69 6f 6e 20 66 28 65 29 7b 49 28 78 2c 65 29 2c ion f(e){I(x,e),
00026ed0: 78 2e 6c 65 6e 67 74 68 3d 74 2e 6c 65 6e 67 74 x.length=t.lengt
00026ee0: 68 2c 70 2e 6e 6f 74 69 66 79 4c 69 73 74 65 6e h,p.notifyListen
00026ef0: 65 72 73 28 78 2e 6c 6f 63 61 74 69 6f 6e 2c 78 ers(x.location,x
00026f00: 2e 61 63 74 69 6f 6e 29 7d 76 61 72 20 6d 3d 21 .action)}var m=!
00026f10: 31 2c 68 3d 6e 75 6c 6c 3b 66 75 6e 63 74 69 6f 1,h=null;functio
00026f20: 6e 20 67 28 29 7b 76 61 72 20 65 2c 74 2c 6e 3d n g(){var e,t,n=
00026f30: 74 65 28 29 2c 72 3d 63 28 6e 29 3b 69 66 28 6e te(),r=c(n);if(n
00026f40: 21 3d 3d 72 29 6e 65 28 72 29 3b 65 6c 73 65 7b !==r)ne(r);else{
00026f50: 76 61 72 20 69 3d 64 28 29 2c 61 3d 78 2e 6c 6f var i=d(),a=x.lo
00026f60: 63 61 74 69 6f 6e 3b 69 66 28 21 6d 26 26 28 74 cation;if(!m&&(t
00026f70: 3d 69 2c 28 65 3d 61 29 2e 70 61 74 68 6e 61 6d =i,(e=a).pathnam
00026f80: 65 3d 3d 3d 74 2e 70 61 74 68 6e 61 6d 65 26 26 e===t.pathname&&
00026f90: 65 2e 73 65 61 72 63 68 3d 3d 3d 74 2e 73 65 61 e.search===t.sea
00026fa0: 72 63 68 26 26 65 2e 68 61 73 68 3d 3d 3d 74 2e rch&&e.hash===t.
00026fb0: 68 61 73 68 29 29 72 65 74 75 72 6e 3b 69 66 28 hash))return;if(
00026fc0: 68 3d 3d 3d 48 28 69 29 29 72 65 74 75 72 6e 3b h===H(i))return;
00026fd0: 68 3d 6e 75 6c 6c 2c 66 75 6e 63 74 69 6f 6e 28 h=null,function(
00026fe0: 65 29 7b 69 66 28 6d 29 6d 3d 21 31 2c 66 28 29 e){if(m)m=!1,f()
00026ff0: 3b 65 6c 73 65 7b 76 61 72 20 74 3d 22 50 4f 50 ;else{var t="POP
00027000: 22 3b 70 2e 63 6f 6e 66 69 72 6d 54 72 61 6e 73 ";p.confirmTrans
00027010: 69 74 69 6f 6e 54 6f 28 65 2c 74 2c 6f 2c 28 66 itionTo(e,t,o,(f
00027020: 75 6e 63 74 69 6f 6e 28 6e 29 7b 6e 3f 66 28 7b unction(n){n?f({
00027030: 61 63 74 69 6f 6e 3a 74 2c 6c 6f 63 61 74 69 6f action:t,locatio
00027040: 6e 3a 65 7d 29 3a 66 75 6e 63 74 69 6f 6e 28 65 n:e}):function(e
00027050: 29 7b 76 61 72 20 74 3d 78 2e 6c 6f 63 61 74 69 ){var t=x.locati
00027060: 6f 6e 2c 6e 3d 45 2e 6c 61 73 74 49 6e 64 65 78 on,n=E.lastIndex
00027070: 4f 66 28 48 28 74 29 29 3b 2d 31 3d 3d 3d 6e 26 Of(H(t));-1===n&
00027080: 26 28 6e 3d 30 29 3b 76 61 72 20 72 3d 45 2e 6c &(n=0);var r=E.l
00027090: 61 73 74 49 6e 64 65 78 4f 66 28 48 28 65 29 29 astIndexOf(H(e))
000270a0: 3b 2d 31 3d 3d 3d 72 26 26 28 72 3d 30 29 3b 76 ;-1===r&&(r=0);v
000270b0: 61 72 20 6f 3d 6e 2d 72 3b 6f 26 26 28 6d 3d 21 ar o=n-r;o&&(m=!
000270c0: 30 2c 5f 28 6f 29 29 7d 28 65 29 7d 29 29 7d 7d 0,_(o))}(e)}))}}
000270d0: 28 69 29 7d 7d 76 61 72 20 62 3d 74 65 28 29 2c (i)}}var b=te(),
000270e0: 76 3d 63 28 62 29 3b 62 21 3d 3d 76 26 26 6e 65 v=c(b);b!==v&&ne
000270f0: 28 76 29 3b 76 61 72 20 79 3d 64 28 29 2c 45 3d (v);var y=d(),E=
00027100: 5b 48 28 79 29 5d 3b 66 75 6e 63 74 69 6f 6e 20 [H(y)];function
00027110: 5f 28 65 29 7b 74 2e 67 6f 28 65 29 7d 76 61 72 _(e){t.go(e)}var
00027120: 20 53 3d 30 3b 66 75 6e 63 74 69 6f 6e 20 77 28 S=0;function w(
00027130: 65 29 7b 31 3d 3d 3d 28 53 2b 3d 65 29 26 26 31 e){1===(S+=e)&&1
00027140: 3d 3d 3d 65 3f 77 69 6e 64 6f 77 2e 61 64 64 45 ===e?window.addE
00027150: 76 65 6e 74 4c 69 73 74 65 6e 65 72 28 4a 2c 67 ventListener(J,g
00027160: 29 3a 30 3d 3d 3d 53 26 26 77 69 6e 64 6f 77 2e ):0===S&&window.
00027170: 72 65 6d 6f 76 65 45 76 65 6e 74 4c 69 73 74 65 removeEventListe
00027180: 6e 65 72 28 4a 2c 67 29 7d 76 61 72 20 54 3d 21 ner(J,g)}var T=!
00027190: 31 3b 76 61 72 20 78 3d 7b 6c 65 6e 67 74 68 3a 1;var x={length:
000271a0: 74 2e 6c 65 6e 67 74 68 2c 61 63 74 69 6f 6e 3a t.length,action:
000271b0: 22 50 4f 50 22 2c 6c 6f 63 61 74 69 6f 6e 3a 79 "POP",location:y
000271c0: 2c 63 72 65 61 74 65 48 72 65 66 3a 66 75 6e 63 ,createHref:func
000271d0: 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d 64 6f tion(e){var t=do
000271e0: 63 75 6d 65 6e 74 2e 71 75 65 72 79 53 65 6c 65 cument.querySele
000271f0: 63 74 6f 72 28 22 62 61 73 65 22 29 2c 6e 3d 22 ctor("base"),n="
00027200: 22 3b 72 65 74 75 72 6e 20 74 26 26 74 2e 67 65 ";return t&&t.ge
00027210: 74 41 74 74 72 69 62 75 74 65 28 22 68 72 65 66 tAttribute("href
00027220: 22 29 26 26 28 6e 3d 65 65 28 77 69 6e 64 6f 77 ")&&(n=ee(window
00027230: 2e 6c 6f 63 61 74 69 6f 6e 2e 68 72 65 66 29 29 .location.href))
00027240: 2c 6e 2b 22 23 22 2b 63 28 73 2b 48 28 65 29 29 ,n+"#"+c(s+H(e))
00027250: 7d 2c 70 75 73 68 3a 66 75 6e 63 74 69 6f 6e 28 },push:function(
00027260: 65 2c 74 29 7b 76 61 72 20 6e 3d 22 50 55 53 48 e,t){var n="PUSH
00027270: 22 2c 72 3d 56 28 65 2c 76 6f 69 64 20 30 2c 76 ",r=V(e,void 0,v
00027280: 6f 69 64 20 30 2c 78 2e 6c 6f 63 61 74 69 6f 6e oid 0,x.location
00027290: 29 3b 70 2e 63 6f 6e 66 69 72 6d 54 72 61 6e 73 );p.confirmTrans
000272a0: 69 74 69 6f 6e 54 6f 28 72 2c 6e 2c 6f 2c 28 66 itionTo(r,n,o,(f
000272b0: 75 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 65 29 unction(e){if(e)
000272c0: 7b 76 61 72 20 74 3d 48 28 72 29 2c 6f 3d 63 28 {var t=H(r),o=c(
000272d0: 73 2b 74 29 3b 69 66 28 74 65 28 29 21 3d 3d 6f s+t);if(te()!==o
000272e0: 29 7b 68 3d 74 2c 66 75 6e 63 74 69 6f 6e 28 65 ){h=t,function(e
000272f0: 29 7b 77 69 6e 64 6f 77 2e 6c 6f 63 61 74 69 6f ){window.locatio
00027300: 6e 2e 68 61 73 68 3d 65 7d 28 6f 29 3b 76 61 72 n.hash=e}(o);var
00027310: 20 69 3d 45 2e 6c 61 73 74 49 6e 64 65 78 4f 66 i=E.lastIndexOf
00027320: 28 48 28 78 2e 6c 6f 63 61 74 69 6f 6e 29 29 2c (H(x.location)),
00027330: 61 3d 45 2e 73 6c 69 63 65 28 30 2c 69 2b 31 29 a=E.slice(0,i+1)
00027340: 3b 61 2e 70 75 73 68 28 74 29 2c 45 3d 61 2c 66 ;a.push(t),E=a,f
00027350: 28 7b 61 63 74 69 6f 6e 3a 6e 2c 6c 6f 63 61 74 ({action:n,locat
00027360: 69 6f 6e 3a 72 7d 29 7d 65 6c 73 65 20 66 28 29 ion:r})}else f()
00027370: 7d 7d 29 29 7d 2c 72 65 70 6c 61 63 65 3a 66 75 }}))},replace:fu
00027380: 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 76 61 72 20 nction(e,t){var
00027390: 6e 3d 22 52 45 50 4c 41 43 45 22 2c 72 3d 56 28 n="REPLACE",r=V(
000273a0: 65 2c 76 6f 69 64 20 30 2c 76 6f 69 64 20 30 2c e,void 0,void 0,
000273b0: 78 2e 6c 6f 63 61 74 69 6f 6e 29 3b 70 2e 63 6f x.location);p.co
000273c0: 6e 66 69 72 6d 54 72 61 6e 73 69 74 69 6f 6e 54 nfirmTransitionT
000273d0: 6f 28 72 2c 6e 2c 6f 2c 28 66 75 6e 63 74 69 6f o(r,n,o,(functio
000273e0: 6e 28 65 29 7b 69 66 28 65 29 7b 76 61 72 20 74 n(e){if(e){var t
000273f0: 3d 48 28 72 29 2c 6f 3d 63 28 73 2b 74 29 3b 74 =H(r),o=c(s+t);t
00027400: 65 28 29 21 3d 3d 6f 26 26 28 68 3d 74 2c 6e 65 e()!==o&&(h=t,ne
00027410: 28 6f 29 29 3b 76 61 72 20 69 3d 45 2e 69 6e 64 (o));var i=E.ind
00027420: 65 78 4f 66 28 48 28 78 2e 6c 6f 63 61 74 69 6f exOf(H(x.locatio
00027430: 6e 29 29 3b 2d 31 21 3d 3d 69 26 26 28 45 5b 69 n));-1!==i&&(E[i
00027440: 5d 3d 74 29 2c 66 28 7b 61 63 74 69 6f 6e 3a 6e ]=t),f({action:n
00027450: 2c 6c 6f 63 61 74 69 6f 6e 3a 72 7d 29 7d 7d 29 ,location:r})}})
00027460: 29 7d 2c 67 6f 3a 5f 2c 67 6f 42 61 63 6b 3a 66 )},go:_,goBack:f
00027470: 75 6e 63 74 69 6f 6e 28 29 7b 5f 28 2d 31 29 7d unction(){_(-1)}
00027480: 2c 67 6f 46 6f 72 77 61 72 64 3a 66 75 6e 63 74 ,goForward:funct
00027490: 69 6f 6e 28 29 7b 5f 28 31 29 7d 2c 62 6c 6f 63 ion(){_(1)},bloc
000274a0: 6b 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 76 6f k:function(e){vo
000274b0: 69 64 20 30 3d 3d 3d 65 26 26 28 65 3d 21 31 29 id 0===e&&(e=!1)
000274c0: 3b 76 61 72 20 74 3d 70 2e 73 65 74 50 72 6f 6d ;var t=p.setProm
000274d0: 70 74 28 65 29 3b 72 65 74 75 72 6e 20 54 7c 7c pt(e);return T||
000274e0: 28 77 28 31 29 2c 54 3d 21 30 29 2c 66 75 6e 63 (w(1),T=!0),func
000274f0: 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 54 26 tion(){return T&
00027500: 26 28 54 3d 21 31 2c 77 28 2d 31 29 29 2c 74 28 &(T=!1,w(-1)),t(
00027510: 29 7d 7d 2c 6c 69 73 74 65 6e 3a 66 75 6e 63 74 )}},listen:funct
00027520: 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d 70 2e 61 ion(e){var t=p.a
00027530: 70 70 65 6e 64 4c 69 73 74 65 6e 65 72 28 65 29 ppendListener(e)
00027540: 3b 72 65 74 75 72 6e 20 77 28 31 29 2c 66 75 6e ;return w(1),fun
00027550: 63 74 69 6f 6e 28 29 7b 77 28 2d 31 29 2c 74 28 ction(){w(-1),t(
00027560: 29 7d 7d 7d 3b 72 65 74 75 72 6e 20 78 7d 66 75 )}}};return x}fu
00027570: 6e 63 74 69 6f 6e 20 6f 65 28 65 2c 74 2c 6e 29 nction oe(e,t,n)
00027580: 7b 72 65 74 75 72 6e 20 4d 61 74 68 2e 6d 69 6e {return Math.min
00027590: 28 4d 61 74 68 2e 6d 61 78 28 65 2c 74 29 2c 6e (Math.max(e,t),n
000275a0: 29 7d 66 75 6e 63 74 69 6f 6e 20 69 65 28 29 7b )}function ie(){
000275b0: 72 65 74 75 72 6e 20 69 65 3d 4f 62 6a 65 63 74 return ie=Object
000275c0: 2e 61 73 73 69 67 6e 3f 4f 62 6a 65 63 74 2e 61 .assign?Object.a
000275d0: 73 73 69 67 6e 2e 62 69 6e 64 28 29 3a 66 75 6e ssign.bind():fun
000275e0: 63 74 69 6f 6e 28 65 29 7b 66 6f 72 28 76 61 72 ction(e){for(var
000275f0: 20 74 3d 31 3b 74 3c 61 72 67 75 6d 65 6e 74 73 t=1;t<arguments
00027600: 2e 6c 65 6e 67 74 68 3b 74 2b 2b 29 7b 76 61 72 .length;t++){var
00027610: 20 6e 3d 61 72 67 75 6d 65 6e 74 73 5b 74 5d 3b n=arguments[t];
00027620: 66 6f 72 28 76 61 72 20 72 20 69 6e 20 6e 29 4f for(var r in n)O
00027630: 62 6a 65 63 74 2e 70 72 6f 74 6f 74 79 70 65 2e bject.prototype.
00027640: 68 61 73 4f 77 6e 50 72 6f 70 65 72 74 79 2e 63 hasOwnProperty.c
00027650: 61 6c 6c 28 6e 2c 72 29 26 26 28 65 5b 72 5d 3d all(n,r)&&(e[r]=
00027660: 6e 5b 72 5d 29 7d 72 65 74 75 72 6e 20 65 7d 2c n[r])}return e},
00027670: 69 65 2e 61 70 70 6c 79 28 74 68 69 73 2c 61 72 ie.apply(this,ar
00027680: 67 75 6d 65 6e 74 73 29 7d 76 61 72 20 61 65 3d guments)}var ae=
00027690: 6e 28 33 39 36 35 38 29 2c 73 65 3d 6e 2e 6e 28 n(39658),se=n.n(
000276a0: 61 65 29 3b 6e 28 35 39 38 36 34 29 3b 6e 28 38 ae);n(59864);n(8
000276b0: 36 37 39 29 3b 76 61 72 20 6c 65 3d 31 30 37 33 679);var le=1073
000276c0: 37 34 31 38 32 33 2c 63 65 3d 22 75 6e 64 65 66 741823,ce="undef
000276d0: 69 6e 65 64 22 21 3d 74 79 70 65 6f 66 20 67 6c ined"!=typeof gl
000276e0: 6f 62 61 6c 54 68 69 73 3f 67 6c 6f 62 61 6c 54 obalThis?globalT
000276f0: 68 69 73 3a 22 75 6e 64 65 66 69 6e 65 64 22 21 his:"undefined"!
00027700: 3d 74 79 70 65 6f 66 20 77 69 6e 64 6f 77 3f 77 =typeof window?w
00027710: 69 6e 64 6f 77 3a 76 6f 69 64 20 30 21 3d 3d 6e indow:void 0!==n
00027720: 2e 67 3f 6e 2e 67 3a 7b 7d 3b 76 61 72 20 75 65 .g?n.g:{};var ue
00027730: 3d 72 2e 63 72 65 61 74 65 43 6f 6e 74 65 78 74 =r.createContext
00027740: 7c 7c 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b ||function(e,t){
00027750: 76 61 72 20 6e 2c 6f 2c 69 3d 22 5f 5f 63 72 65 var n,o,i="__cre
00027760: 61 74 65 2d 72 65 61 63 74 2d 63 6f 6e 74 65 78 ate-react-contex
00027770: 74 2d 22 2b 66 75 6e 63 74 69 6f 6e 28 29 7b 76 t-"+function(){v
00027780: 61 72 20 65 3d 22 5f 5f 67 6c 6f 62 61 6c 5f 75 ar e="__global_u
00027790: 6e 69 71 75 65 5f 69 64 5f 5f 22 3b 72 65 74 75 nique_id__";retu
000277a0: 72 6e 20 63 65 5b 65 5d 3d 28 63 65 5b 65 5d 7c rn ce[e]=(ce[e]|
000277b0: 7c 30 29 2b 31 7d 28 29 2b 22 5f 5f 22 2c 61 3d |0)+1}()+"__",a=
000277c0: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 66 75 6e 63 function(e){func
000277d0: 74 69 6f 6e 20 6e 28 29 7b 66 6f 72 28 76 61 72 tion n(){for(var
000277e0: 20 74 2c 6e 2c 72 2c 6f 3d 61 72 67 75 6d 65 6e t,n,r,o=argumen
000277f0: 74 73 2e 6c 65 6e 67 74 68 2c 69 3d 6e 65 77 20 ts.length,i=new
00027800: 41 72 72 61 79 28 6f 29 2c 61 3d 30 3b 61 3c 6f Array(o),a=0;a<o
00027810: 3b 61 2b 2b 29 69 5b 61 5d 3d 61 72 67 75 6d 65 ;a++)i[a]=argume
00027820: 6e 74 73 5b 61 5d 3b 72 65 74 75 72 6e 28 74 3d nts[a];return(t=
00027830: 65 2e 63 61 6c 6c 2e 61 70 70 6c 79 28 65 2c 5b e.call.apply(e,[
00027840: 74 68 69 73 5d 2e 63 6f 6e 63 61 74 28 69 29 29 this].concat(i))
00027850: 7c 7c 74 68 69 73 29 2e 65 6d 69 74 74 65 72 3d ||this).emitter=
00027860: 28 6e 3d 74 2e 70 72 6f 70 73 2e 76 61 6c 75 65 (n=t.props.value
00027870: 2c 72 3d 5b 5d 2c 7b 6f 6e 3a 66 75 6e 63 74 69 ,r=[],{on:functi
00027880: 6f 6e 28 65 29 7b 72 2e 70 75 73 68 28 65 29 7d on(e){r.push(e)}
00027890: 2c 6f 66 66 3a 66 75 6e 63 74 69 6f 6e 28 65 29 ,off:function(e)
000278a0: 7b 72 3d 72 2e 66 69 6c 74 65 72 28 28 66 75 6e {r=r.filter((fun
000278b0: 63 74 69 6f 6e 28 74 29 7b 72 65 74 75 72 6e 20 ction(t){return
000278c0: 74 21 3d 3d 65 7d 29 29 7d 2c 67 65 74 3a 66 75 t!==e}))},get:fu
000278d0: 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 nction(){return
000278e0: 6e 7d 2c 73 65 74 3a 66 75 6e 63 74 69 6f 6e 28 n},set:function(
000278f0: 65 2c 74 29 7b 6e 3d 65 2c 72 2e 66 6f 72 45 61 e,t){n=e,r.forEa
00027900: 63 68 28 28 66 75 6e 63 74 69 6f 6e 28 65 29 7b ch((function(e){
00027910: 72 65 74 75 72 6e 20 65 28 6e 2c 74 29 7d 29 29 return e(n,t)}))
00027920: 7d 7d 29 2c 74 7d 6b 28 6e 2c 65 29 3b 76 61 72 }}),t}k(n,e);var
00027930: 20 72 3d 6e 2e 70 72 6f 74 6f 74 79 70 65 3b 72 r=n.prototype;r
00027940: 65 74 75 72 6e 20 72 2e 67 65 74 43 68 69 6c 64 eturn r.getChild
00027950: 43 6f 6e 74 65 78 74 3d 66 75 6e 63 74 69 6f 6e Context=function
00027960: 28 29 7b 76 61 72 20 65 3b 72 65 74 75 72 6e 28 (){var e;return(
00027970: 65 3d 7b 7d 29 5b 69 5d 3d 74 68 69 73 2e 65 6d e={})[i]=this.em
00027980: 69 74 74 65 72 2c 65 7d 2c 72 2e 63 6f 6d 70 6f itter,e},r.compo
00027990: 6e 65 6e 74 57 69 6c 6c 52 65 63 65 69 76 65 50 nentWillReceiveP
000279a0: 72 6f 70 73 3d 66 75 6e 63 74 69 6f 6e 28 65 29 rops=function(e)
000279b0: 7b 69 66 28 74 68 69 73 2e 70 72 6f 70 73 2e 76 {if(this.props.v
000279c0: 61 6c 75 65 21 3d 3d 65 2e 76 61 6c 75 65 29 7b alue!==e.value){
000279d0: 76 61 72 20 6e 2c 72 3d 74 68 69 73 2e 70 72 6f var n,r=this.pro
000279e0: 70 73 2e 76 61 6c 75 65 2c 6f 3d 65 2e 76 61 6c ps.value,o=e.val
000279f0: 75 65 3b 28 28 69 3d 72 29 3d 3d 3d 28 61 3d 6f ue;((i=r)===(a=o
00027a00: 29 3f 30 21 3d 3d 69 7c 7c 31 2f 69 3d 3d 31 2f )?0!==i||1/i==1/
00027a10: 61 3a 69 21 3d 69 26 26 61 21 3d 61 29 3f 6e 3d a:i!=i&&a!=a)?n=
00027a20: 30 3a 28 6e 3d 22 66 75 6e 63 74 69 6f 6e 22 3d 0:(n="function"=
00027a30: 3d 74 79 70 65 6f 66 20 74 3f 74 28 72 2c 6f 29 =typeof t?t(r,o)
00027a40: 3a 6c 65 2c 30 21 3d 3d 28 6e 7c 3d 30 29 26 26 :le,0!==(n|=0)&&
00027a50: 74 68 69 73 2e 65 6d 69 74 74 65 72 2e 73 65 74 this.emitter.set
00027a60: 28 65 2e 76 61 6c 75 65 2c 6e 29 29 7d 76 61 72 (e.value,n))}var
00027a70: 20 69 2c 61 7d 2c 72 2e 72 65 6e 64 65 72 3d 66 i,a},r.render=f
00027a80: 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e unction(){return
00027a90: 20 74 68 69 73 2e 70 72 6f 70 73 2e 63 68 69 6c this.props.chil
00027aa0: 64 72 65 6e 7d 2c 6e 7d 28 72 2e 43 6f 6d 70 6f dren},n}(r.Compo
00027ab0: 6e 65 6e 74 29 3b 61 2e 63 68 69 6c 64 43 6f 6e nent);a.childCon
00027ac0: 74 65 78 74 54 79 70 65 73 3d 28 28 6e 3d 7b 7d textTypes=((n={}
00027ad0: 29 5b 69 5d 3d 4e 28 29 2e 6f 62 6a 65 63 74 2e )[i]=N().object.
00027ae0: 69 73 52 65 71 75 69 72 65 64 2c 6e 29 3b 76 61 isRequired,n);va
00027af0: 72 20 73 3d 66 75 6e 63 74 69 6f 6e 28 74 29 7b r s=function(t){
00027b00: 66 75 6e 63 74 69 6f 6e 20 6e 28 29 7b 66 6f 72 function n(){for
00027b10: 28 76 61 72 20 65 2c 6e 3d 61 72 67 75 6d 65 6e (var e,n=argumen
00027b20: 74 73 2e 6c 65 6e 67 74 68 2c 72 3d 6e 65 77 20 ts.length,r=new
00027b30: 41 72 72 61 79 28 6e 29 2c 6f 3d 30 3b 6f 3c 6e Array(n),o=0;o<n
00027b40: 3b 6f 2b 2b 29 72 5b 6f 5d 3d 61 72 67 75 6d 65 ;o++)r[o]=argume
00027b50: 6e 74 73 5b 6f 5d 3b 72 65 74 75 72 6e 28 65 3d nts[o];return(e=
00027b60: 74 2e 63 61 6c 6c 2e 61 70 70 6c 79 28 74 2c 5b t.call.apply(t,[
00027b70: 74 68 69 73 5d 2e 63 6f 6e 63 61 74 28 72 29 29 this].concat(r))
00027b80: 7c 7c 74 68 69 73 29 2e 6f 62 73 65 72 76 65 64 ||this).observed
00027b90: 42 69 74 73 3d 76 6f 69 64 20 30 2c 65 2e 73 74 Bits=void 0,e.st
00027ba0: 61 74 65 3d 7b 76 61 6c 75 65 3a 65 2e 67 65 74 ate={value:e.get
00027bb0: 56 61 6c 75 65 28 29 7d 2c 65 2e 6f 6e 55 70 64 Value()},e.onUpd
00027bc0: 61 74 65 3d 66 75 6e 63 74 69 6f 6e 28 74 2c 6e ate=function(t,n
00027bd0: 29 7b 30 21 3d 28 28 30 7c 65 2e 6f 62 73 65 72 ){0!=((0|e.obser
00027be0: 76 65 64 42 69 74 73 29 26 6e 29 26 26 65 2e 73 vedBits)&n)&&e.s
00027bf0: 65 74 53 74 61 74 65 28 7b 76 61 6c 75 65 3a 65 etState({value:e
00027c00: 2e 67 65 74 56 61 6c 75 65 28 29 7d 29 7d 2c 65 .getValue()})},e
00027c10: 7d 6b 28 6e 2c 74 29 3b 76 61 72 20 72 3d 6e 2e }k(n,t);var r=n.
00027c20: 70 72 6f 74 6f 74 79 70 65 3b 72 65 74 75 72 6e prototype;return
00027c30: 20 72 2e 63 6f 6d 70 6f 6e 65 6e 74 57 69 6c 6c r.componentWill
00027c40: 52 65 63 65 69 76 65 50 72 6f 70 73 3d 66 75 6e ReceiveProps=fun
00027c50: 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d 65 ction(e){var t=e
00027c60: 2e 6f 62 73 65 72 76 65 64 42 69 74 73 3b 74 68 .observedBits;th
00027c70: 69 73 2e 6f 62 73 65 72 76 65 64 42 69 74 73 3d is.observedBits=
00027c80: 6e 75 6c 6c 3d 3d 74 3f 6c 65 3a 74 7d 2c 72 2e null==t?le:t},r.
00027c90: 63 6f 6d 70 6f 6e 65 6e 74 44 69 64 4d 6f 75 6e componentDidMoun
00027ca0: 74 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 74 68 69 t=function(){thi
00027cb0: 73 2e 63 6f 6e 74 65 78 74 5b 69 5d 26 26 74 68 s.context[i]&&th
00027cc0: 69 73 2e 63 6f 6e 74 65 78 74 5b 69 5d 2e 6f 6e is.context[i].on
00027cd0: 28 74 68 69 73 2e 6f 6e 55 70 64 61 74 65 29 3b (this.onUpdate);
00027ce0: 76 61 72 20 65 3d 74 68 69 73 2e 70 72 6f 70 73 var e=this.props
00027cf0: 2e 6f 62 73 65 72 76 65 64 42 69 74 73 3b 74 68 .observedBits;th
00027d00: 69 73 2e 6f 62 73 65 72 76 65 64 42 69 74 73 3d is.observedBits=
00027d10: 6e 75 6c 6c 3d 3d 65 3f 6c 65 3a 65 7d 2c 72 2e null==e?le:e},r.
00027d20: 63 6f 6d 70 6f 6e 65 6e 74 57 69 6c 6c 55 6e 6d componentWillUnm
00027d30: 6f 75 6e 74 3d 66 75 6e 63 74 69 6f 6e 28 29 7b ount=function(){
00027d40: 74 68 69 73 2e 63 6f 6e 74 65 78 74 5b 69 5d 26 this.context[i]&
00027d50: 26 74 68 69 73 2e 63 6f 6e 74 65 78 74 5b 69 5d &this.context[i]
00027d60: 2e 6f 66 66 28 74 68 69 73 2e 6f 6e 55 70 64 61 .off(this.onUpda
00027d70: 74 65 29 7d 2c 72 2e 67 65 74 56 61 6c 75 65 3d te)},r.getValue=
00027d80: 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 function(){retur
00027d90: 6e 20 74 68 69 73 2e 63 6f 6e 74 65 78 74 5b 69 n this.context[i
00027da0: 5d 3f 74 68 69 73 2e 63 6f 6e 74 65 78 74 5b 69 ]?this.context[i
00027db0: 5d 2e 67 65 74 28 29 3a 65 7d 2c 72 2e 72 65 6e ].get():e},r.ren
00027dc0: 64 65 72 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 72 der=function(){r
00027dd0: 65 74 75 72 6e 28 65 3d 74 68 69 73 2e 70 72 6f eturn(e=this.pro
00027de0: 70 73 2e 63 68 69 6c 64 72 65 6e 2c 41 72 72 61 ps.children,Arra
00027df0: 79 2e 69 73 41 72 72 61 79 28 65 29 3f 65 5b 30 y.isArray(e)?e[0
00027e00: 5d 3a 65 29 28 74 68 69 73 2e 73 74 61 74 65 2e ]:e)(this.state.
00027e10: 76 61 6c 75 65 29 3b 76 61 72 20 65 7d 2c 6e 7d value);var e},n}
00027e20: 28 72 2e 43 6f 6d 70 6f 6e 65 6e 74 29 3b 72 65 (r.Component);re
00027e30: 74 75 72 6e 20 73 2e 63 6f 6e 74 65 78 74 54 79 turn s.contextTy
00027e40: 70 65 73 3d 28 28 6f 3d 7b 7d 29 5b 69 5d 3d 4e pes=((o={})[i]=N
00027e50: 28 29 2e 6f 62 6a 65 63 74 2c 6f 29 2c 7b 50 72 ().object,o),{Pr
00027e60: 6f 76 69 64 65 72 3a 61 2c 43 6f 6e 73 75 6d 65 ovider:a,Consume
00027e70: 72 3a 73 7d 7d 2c 64 65 3d 66 75 6e 63 74 69 6f r:s}},de=functio
00027e80: 6e 28 65 29 7b 76 61 72 20 74 3d 75 65 28 29 3b n(e){var t=ue();
00027e90: 72 65 74 75 72 6e 20 74 2e 64 69 73 70 6c 61 79 return t.display
00027ea0: 4e 61 6d 65 3d 65 2c 74 7d 2c 70 65 3d 64 65 28 Name=e,t},pe=de(
00027eb0: 22 52 6f 75 74 65 72 2d 48 69 73 74 6f 72 79 22 "Router-History"
00027ec0: 29 2c 66 65 3d 64 65 28 22 52 6f 75 74 65 72 22 ),fe=de("Router"
00027ed0: 29 2c 6d 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 ),me=function(e)
00027ee0: 7b 66 75 6e 63 74 69 6f 6e 20 74 28 74 29 7b 76 {function t(t){v
00027ef0: 61 72 20 6e 3b 72 65 74 75 72 6e 28 6e 3d 65 2e ar n;return(n=e.
00027f00: 63 61 6c 6c 28 74 68 69 73 2c 74 29 7c 7c 74 68 call(this,t)||th
00027f10: 69 73 29 2e 73 74 61 74 65 3d 7b 6c 6f 63 61 74 is).state={locat
00027f20: 69 6f 6e 3a 74 2e 68 69 73 74 6f 72 79 2e 6c 6f ion:t.history.lo
00027f30: 63 61 74 69 6f 6e 7d 2c 6e 2e 5f 69 73 4d 6f 75 cation},n._isMou
00027f40: 6e 74 65 64 3d 21 31 2c 6e 2e 5f 70 65 6e 64 69 nted=!1,n._pendi
00027f50: 6e 67 4c 6f 63 61 74 69 6f 6e 3d 6e 75 6c 6c 2c ngLocation=null,
00027f60: 74 2e 73 74 61 74 69 63 43 6f 6e 74 65 78 74 7c t.staticContext|
00027f70: 7c 28 6e 2e 75 6e 6c 69 73 74 65 6e 3d 74 2e 68 |(n.unlisten=t.h
00027f80: 69 73 74 6f 72 79 2e 6c 69 73 74 65 6e 28 28 66 istory.listen((f
00027f90: 75 6e 63 74 69 6f 6e 28 65 29 7b 6e 2e 5f 70 65 unction(e){n._pe
00027fa0: 6e 64 69 6e 67 4c 6f 63 61 74 69 6f 6e 3d 65 7d ndingLocation=e}
00027fb0: 29 29 29 2c 6e 7d 6b 28 74 2c 65 29 2c 74 2e 63 ))),n}k(t,e),t.c
00027fc0: 6f 6d 70 75 74 65 52 6f 6f 74 4d 61 74 63 68 3d omputeRootMatch=
00027fd0: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 function(e){retu
00027fe0: 72 6e 7b 70 61 74 68 3a 22 2f 22 2c 75 72 6c 3a rn{path:"/",url:
00027ff0: 22 2f 22 2c 70 61 72 61 6d 73 3a 7b 7d 2c 69 73 "/",params:{},is
00028000: 45 78 61 63 74 3a 22 2f 22 3d 3d 3d 65 7d 7d 3b Exact:"/"===e}};
00028010: 76 61 72 20 6e 3d 74 2e 70 72 6f 74 6f 74 79 70 var n=t.prototyp
00028020: 65 3b 72 65 74 75 72 6e 20 6e 2e 63 6f 6d 70 6f e;return n.compo
00028030: 6e 65 6e 74 44 69 64 4d 6f 75 6e 74 3d 66 75 6e nentDidMount=fun
00028040: 63 74 69 6f 6e 28 29 7b 76 61 72 20 65 3d 74 68 ction(){var e=th
00028050: 69 73 3b 74 68 69 73 2e 5f 69 73 4d 6f 75 6e 74 is;this._isMount
00028060: 65 64 3d 21 30 2c 74 68 69 73 2e 75 6e 6c 69 73 ed=!0,this.unlis
00028070: 74 65 6e 26 26 74 68 69 73 2e 75 6e 6c 69 73 74 ten&&this.unlist
00028080: 65 6e 28 29 2c 74 68 69 73 2e 70 72 6f 70 73 2e en(),this.props.
00028090: 73 74 61 74 69 63 43 6f 6e 74 65 78 74 7c 7c 28 staticContext||(
000280a0: 74 68 69 73 2e 75 6e 6c 69 73 74 65 6e 3d 74 68 this.unlisten=th
000280b0: 69 73 2e 70 72 6f 70 73 2e 68 69 73 74 6f 72 79 is.props.history
000280c0: 2e 6c 69 73 74 65 6e 28 28 66 75 6e 63 74 69 6f .listen((functio
000280d0: 6e 28 74 29 7b 65 2e 5f 69 73 4d 6f 75 6e 74 65 n(t){e._isMounte
000280e0: 64 26 26 65 2e 73 65 74 53 74 61 74 65 28 7b 6c d&&e.setState({l
000280f0: 6f 63 61 74 69 6f 6e 3a 74 7d 29 7d 29 29 29 2c ocation:t})}))),
00028100: 74 68 69 73 2e 5f 70 65 6e 64 69 6e 67 4c 6f 63 this._pendingLoc
00028110: 61 74 69 6f 6e 26 26 74 68 69 73 2e 73 65 74 53 ation&&this.setS
00028120: 74 61 74 65 28 7b 6c 6f 63 61 74 69 6f 6e 3a 74 tate({location:t
00028130: 68 69 73 2e 5f 70 65 6e 64 69 6e 67 4c 6f 63 61 his._pendingLoca
00028140: 74 69 6f 6e 7d 29 7d 2c 6e 2e 63 6f 6d 70 6f 6e tion})},n.compon
00028150: 65 6e 74 57 69 6c 6c 55 6e 6d 6f 75 6e 74 3d 66 entWillUnmount=f
00028160: 75 6e 63 74 69 6f 6e 28 29 7b 74 68 69 73 2e 75 unction(){this.u
00028170: 6e 6c 69 73 74 65 6e 26 26 28 74 68 69 73 2e 75 nlisten&&(this.u
00028180: 6e 6c 69 73 74 65 6e 28 29 2c 74 68 69 73 2e 5f nlisten(),this._
00028190: 69 73 4d 6f 75 6e 74 65 64 3d 21 31 2c 74 68 69 isMounted=!1,thi
000281a0: 73 2e 5f 70 65 6e 64 69 6e 67 4c 6f 63 61 74 69 s._pendingLocati
000281b0: 6f 6e 3d 6e 75 6c 6c 29 7d 2c 6e 2e 72 65 6e 64 on=null)},n.rend
000281c0: 65 72 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 er=function(){re
000281d0: 74 75 72 6e 20 72 2e 63 72 65 61 74 65 45 6c 65 turn r.createEle
000281e0: 6d 65 6e 74 28 66 65 2e 50 72 6f 76 69 64 65 72 ment(fe.Provider
000281f0: 2c 7b 76 61 6c 75 65 3a 7b 68 69 73 74 6f 72 79 ,{value:{history
00028200: 3a 74 68 69 73 2e 70 72 6f 70 73 2e 68 69 73 74 :this.props.hist
00028210: 6f 72 79 2c 6c 6f 63 61 74 69 6f 6e 3a 74 68 69 ory,location:thi
00028220: 73 2e 73 74 61 74 65 2e 6c 6f 63 61 74 69 6f 6e s.state.location
00028230: 2c 6d 61 74 63 68 3a 74 2e 63 6f 6d 70 75 74 65 ,match:t.compute
00028240: 52 6f 6f 74 4d 61 74 63 68 28 74 68 69 73 2e 73 RootMatch(this.s
00028250: 74 61 74 65 2e 6c 6f 63 61 74 69 6f 6e 2e 70 61 tate.location.pa
00028260: 74 68 6e 61 6d 65 29 2c 73 74 61 74 69 63 43 6f thname),staticCo
00028270: 6e 74 65 78 74 3a 74 68 69 73 2e 70 72 6f 70 73 ntext:this.props
00028280: 2e 73 74 61 74 69 63 43 6f 6e 74 65 78 74 7d 7d .staticContext}}
00028290: 2c 72 2e 63 72 65 61 74 65 45 6c 65 6d 65 6e 74 ,r.createElement
000282a0: 28 70 65 2e 50 72 6f 76 69 64 65 72 2c 7b 63 68 (pe.Provider,{ch
000282b0: 69 6c 64 72 65 6e 3a 74 68 69 73 2e 70 72 6f 70 ildren:this.prop
000282c0: 73 2e 63 68 69 6c 64 72 65 6e 7c 7c 6e 75 6c 6c s.children||null
000282d0: 2c 76 61 6c 75 65 3a 74 68 69 73 2e 70 72 6f 70 ,value:this.prop
000282e0: 73 2e 68 69 73 74 6f 72 79 7d 29 29 7d 2c 74 7d s.history}))},t}
000282f0: 28 72 2e 43 6f 6d 70 6f 6e 65 6e 74 29 3b 72 2e (r.Component);r.
00028300: 43 6f 6d 70 6f 6e 65 6e 74 3b 72 2e 43 6f 6d 70 Component;r.Comp
00028310: 6f 6e 65 6e 74 3b 76 61 72 20 68 65 3d 7b 7d 2c onent;var he={},
00028320: 67 65 3d 31 65 34 2c 62 65 3d 30 3b 66 75 6e 63 ge=1e4,be=0;func
00028330: 74 69 6f 6e 20 76 65 28 65 2c 74 29 7b 76 6f 69 tion ve(e,t){voi
00028340: 64 20 30 3d 3d 3d 74 26 26 28 74 3d 7b 7d 29 2c d 0===t&&(t={}),
00028350: 28 22 73 74 72 69 6e 67 22 3d 3d 74 79 70 65 6f ("string"==typeo
00028360: 66 20 74 7c 7c 41 72 72 61 79 2e 69 73 41 72 72 f t||Array.isArr
00028370: 61 79 28 74 29 29 26 26 28 74 3d 7b 70 61 74 68 ay(t))&&(t={path
00028380: 3a 74 7d 29 3b 76 61 72 20 6e 3d 74 2c 72 3d 6e :t});var n=t,r=n
00028390: 2e 70 61 74 68 2c 6f 3d 6e 2e 65 78 61 63 74 2c .path,o=n.exact,
000283a0: 69 3d 76 6f 69 64 20 30 21 3d 3d 6f 26 26 6f 2c i=void 0!==o&&o,
000283b0: 61 3d 6e 2e 73 74 72 69 63 74 2c 73 3d 76 6f 69 a=n.strict,s=voi
000283c0: 64 20 30 21 3d 3d 61 26 26 61 2c 6c 3d 6e 2e 73 d 0!==a&&a,l=n.s
000283d0: 65 6e 73 69 74 69 76 65 2c 63 3d 76 6f 69 64 20 ensitive,c=void
000283e0: 30 21 3d 3d 6c 26 26 6c 3b 72 65 74 75 72 6e 5b 0!==l&&l;return[
000283f0: 5d 2e 63 6f 6e 63 61 74 28 72 29 2e 72 65 64 75 ].concat(r).redu
00028400: 63 65 28 28 66 75 6e 63 74 69 6f 6e 28 74 2c 6e ce((function(t,n
00028410: 29 7b 69 66 28 21 6e 26 26 22 22 21 3d 3d 6e 29 ){if(!n&&""!==n)
00028420: 72 65 74 75 72 6e 20 6e 75 6c 6c 3b 69 66 28 74 return null;if(t
00028430: 29 72 65 74 75 72 6e 20 74 3b 76 61 72 20 72 3d )return t;var r=
00028440: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 76 61 function(e,t){va
00028450: 72 20 6e 3d 22 22 2b 74 2e 65 6e 64 2b 74 2e 73 r n=""+t.end+t.s
00028460: 74 72 69 63 74 2b 74 2e 73 65 6e 73 69 74 69 76 trict+t.sensitiv
00028470: 65 2c 72 3d 68 65 5b 6e 5d 7c 7c 28 68 65 5b 6e e,r=he[n]||(he[n
00028480: 5d 3d 7b 7d 29 3b 69 66 28 72 5b 65 5d 29 72 65 ]={});if(r[e])re
00028490: 74 75 72 6e 20 72 5b 65 5d 3b 76 61 72 20 6f 3d turn r[e];var o=
000284a0: 5b 5d 2c 69 3d 7b 72 65 67 65 78 70 3a 73 65 28 [],i={regexp:se(
000284b0: 29 28 65 2c 6f 2c 74 29 2c 6b 65 79 73 3a 6f 7d )(e,o,t),keys:o}
000284c0: 3b 72 65 74 75 72 6e 20 62 65 3c 67 65 26 26 28 ;return be<ge&&(
000284d0: 72 5b 65 5d 3d 69 2c 62 65 2b 2b 29 2c 69 7d 28 r[e]=i,be++),i}(
000284e0: 6e 2c 7b 65 6e 64 3a 69 2c 73 74 72 69 63 74 3a n,{end:i,strict:
000284f0: 73 2c 73 65 6e 73 69 74 69 76 65 3a 63 7d 29 2c s,sensitive:c}),
00028500: 6f 3d 72 2e 72 65 67 65 78 70 2c 61 3d 72 2e 6b o=r.regexp,a=r.k
00028510: 65 79 73 2c 6c 3d 6f 2e 65 78 65 63 28 65 29 3b eys,l=o.exec(e);
00028520: 69 66 28 21 6c 29 72 65 74 75 72 6e 20 6e 75 6c if(!l)return nul
00028530: 6c 3b 76 61 72 20 75 3d 6c 5b 30 5d 2c 64 3d 6c l;var u=l[0],d=l
00028540: 2e 73 6c 69 63 65 28 31 29 2c 70 3d 65 3d 3d 3d .slice(1),p=e===
00028550: 75 3b 72 65 74 75 72 6e 20 69 26 26 21 70 3f 6e u;return i&&!p?n
00028560: 75 6c 6c 3a 7b 70 61 74 68 3a 6e 2c 75 72 6c 3a ull:{path:n,url:
00028570: 22 2f 22 3d 3d 3d 6e 26 26 22 22 3d 3d 3d 75 3f "/"===n&&""===u?
00028580: 22 2f 22 3a 75 2c 69 73 45 78 61 63 74 3a 70 2c "/":u,isExact:p,
00028590: 70 61 72 61 6d 73 3a 61 2e 72 65 64 75 63 65 28 params:a.reduce(
000285a0: 28 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 (function(e,t,n)
000285b0: 7b 72 65 74 75 72 6e 20 65 5b 74 2e 6e 61 6d 65 {return e[t.name
000285c0: 5d 3d 64 5b 6e 5d 2c 65 7d 29 2c 7b 7d 29 7d 7d ]=d[n],e}),{})}}
000285d0: 29 2c 6e 75 6c 6c 29 7d 76 61 72 20 79 65 3d 66 ),null)}var ye=f
000285e0: 75 6e 63 74 69 6f 6e 28 65 29 7b 66 75 6e 63 74 unction(e){funct
000285f0: 69 6f 6e 20 74 28 29 7b 72 65 74 75 72 6e 20 65 ion t(){return e
00028600: 2e 61 70 70 6c 79 28 74 68 69 73 2c 61 72 67 75 .apply(this,argu
00028610: 6d 65 6e 74 73 29 7c 7c 74 68 69 73 7d 72 65 74 ments)||this}ret
00028620: 75 72 6e 20 6b 28 74 2c 65 29 2c 74 2e 70 72 6f urn k(t,e),t.pro
00028630: 74 6f 74 79 70 65 2e 72 65 6e 64 65 72 3d 66 75 totype.render=fu
00028640: 6e 63 74 69 6f 6e 28 29 7b 76 61 72 20 65 3d 74 nction(){var e=t
00028650: 68 69 73 3b 72 65 74 75 72 6e 20 72 2e 63 72 65 his;return r.cre
00028660: 61 74 65 45 6c 65 6d 65 6e 74 28 66 65 2e 43 6f ateElement(fe.Co
00028670: 6e 73 75 6d 65 72 2c 6e 75 6c 6c 2c 28 66 75 6e nsumer,null,(fun
00028680: 63 74 69 6f 6e 28 74 29 7b 74 7c 7c 55 28 21 31 ction(t){t||U(!1
00028690: 29 3b 76 61 72 20 6e 3d 65 2e 70 72 6f 70 73 2e );var n=e.props.
000286a0: 6c 6f 63 61 74 69 6f 6e 7c 7c 74 2e 6c 6f 63 61 location||t.loca
000286b0: 74 69 6f 6e 2c 6f 3d 69 65 28 7b 7d 2c 74 2c 7b tion,o=ie({},t,{
000286c0: 6c 6f 63 61 74 69 6f 6e 3a 6e 2c 6d 61 74 63 68 location:n,match
000286d0: 3a 65 2e 70 72 6f 70 73 2e 63 6f 6d 70 75 74 65 :e.props.compute
000286e0: 64 4d 61 74 63 68 3f 65 2e 70 72 6f 70 73 2e 63 dMatch?e.props.c
000286f0: 6f 6d 70 75 74 65 64 4d 61 74 63 68 3a 65 2e 70 omputedMatch:e.p
00028700: 72 6f 70 73 2e 70 61 74 68 3f 76 65 28 6e 2e 70 rops.path?ve(n.p
00028710: 61 74 68 6e 61 6d 65 2c 65 2e 70 72 6f 70 73 29 athname,e.props)
00028720: 3a 74 2e 6d 61 74 63 68 7d 29 2c 69 3d 65 2e 70 :t.match}),i=e.p
00028730: 72 6f 70 73 2c 61 3d 69 2e 63 68 69 6c 64 72 65 rops,a=i.childre
00028740: 6e 2c 73 3d 69 2e 63 6f 6d 70 6f 6e 65 6e 74 2c n,s=i.component,
00028750: 6c 3d 69 2e 72 65 6e 64 65 72 3b 72 65 74 75 72 l=i.render;retur
00028760: 6e 20 41 72 72 61 79 2e 69 73 41 72 72 61 79 28 n Array.isArray(
00028770: 61 29 26 26 66 75 6e 63 74 69 6f 6e 28 65 29 7b a)&&function(e){
00028780: 72 65 74 75 72 6e 20 30 3d 3d 3d 72 2e 43 68 69 return 0===r.Chi
00028790: 6c 64 72 65 6e 2e 63 6f 75 6e 74 28 65 29 7d 28 ldren.count(e)}(
000287a0: 61 29 26 26 28 61 3d 6e 75 6c 6c 29 2c 72 2e 63 a)&&(a=null),r.c
000287b0: 72 65 61 74 65 45 6c 65 6d 65 6e 74 28 66 65 2e reateElement(fe.
000287c0: 50 72 6f 76 69 64 65 72 2c 7b 76 61 6c 75 65 3a Provider,{value:
000287d0: 6f 7d 2c 6f 2e 6d 61 74 63 68 3f 61 3f 22 66 75 o},o.match?a?"fu
000287e0: 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 nction"==typeof
000287f0: 61 3f 61 28 6f 29 3a 61 3a 73 3f 72 2e 63 72 65 a?a(o):a:s?r.cre
00028800: 61 74 65 45 6c 65 6d 65 6e 74 28 73 2c 6f 29 3a ateElement(s,o):
00028810: 6c 3f 6c 28 6f 29 3a 6e 75 6c 6c 3a 22 66 75 6e l?l(o):null:"fun
00028820: 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 61 ction"==typeof a
00028830: 3f 61 28 6f 29 3a 6e 75 6c 6c 29 7d 29 29 7d 2c ?a(o):null)}))},
00028840: 74 7d 28 72 2e 43 6f 6d 70 6f 6e 65 6e 74 29 3b t}(r.Component);
00028850: 66 75 6e 63 74 69 6f 6e 20 45 65 28 65 29 7b 72 function Ee(e){r
00028860: 65 74 75 72 6e 22 2f 22 3d 3d 3d 65 2e 63 68 61 eturn"/"===e.cha
00028870: 72 41 74 28 30 29 3f 65 3a 22 2f 22 2b 65 7d 66 rAt(0)?e:"/"+e}f
00028880: 75 6e 63 74 69 6f 6e 20 5f 65 28 65 2c 74 29 7b unction _e(e,t){
00028890: 69 66 28 21 65 29 72 65 74 75 72 6e 20 74 3b 76 if(!e)return t;v
000288a0: 61 72 20 6e 3d 45 65 28 65 29 3b 72 65 74 75 72 ar n=Ee(e);retur
000288b0: 6e 20 30 21 3d 3d 74 2e 70 61 74 68 6e 61 6d 65 n 0!==t.pathname
000288c0: 2e 69 6e 64 65 78 4f 66 28 6e 29 3f 74 3a 69 65 .indexOf(n)?t:ie
000288d0: 28 7b 7d 2c 74 2c 7b 70 61 74 68 6e 61 6d 65 3a ({},t,{pathname:
000288e0: 74 2e 70 61 74 68 6e 61 6d 65 2e 73 75 62 73 74 t.pathname.subst
000288f0: 72 28 6e 2e 6c 65 6e 67 74 68 29 7d 29 7d 66 75 r(n.length)})}fu
00028900: 6e 63 74 69 6f 6e 20 53 65 28 65 29 7b 72 65 74 nction Se(e){ret
00028910: 75 72 6e 22 73 74 72 69 6e 67 22 3d 3d 74 79 70 urn"string"==typ
00028920: 65 6f 66 20 65 3f 65 3a 48 28 65 29 7d 66 75 6e eof e?e:H(e)}fun
00028930: 63 74 69 6f 6e 20 77 65 28 65 29 7b 72 65 74 75 ction we(e){retu
00028940: 72 6e 20 66 75 6e 63 74 69 6f 6e 28 29 7b 55 28 rn function(){U(
00028950: 21 31 29 7d 7d 66 75 6e 63 74 69 6f 6e 20 54 65 !1)}}function Te
00028960: 28 29 7b 7d 72 2e 43 6f 6d 70 6f 6e 65 6e 74 3b (){}r.Component;
00028970: 72 2e 43 6f 6d 70 6f 6e 65 6e 74 3b 76 61 72 20 r.Component;var
00028980: 78 65 3d 72 2e 75 73 65 43 6f 6e 74 65 78 74 3b xe=r.useContext;
00028990: 66 75 6e 63 74 69 6f 6e 20 41 65 28 29 7b 72 65 function Ae(){re
000289a0: 74 75 72 6e 20 78 65 28 66 65 29 2e 6c 6f 63 61 turn xe(fe).loca
000289b0: 74 69 6f 6e 7d 66 75 6e 63 74 69 6f 6e 20 43 65 tion}function Ce
000289c0: 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 43 65 3d (e,t){return Ce=
000289d0: 4f 62 6a 65 63 74 2e 73 65 74 50 72 6f 74 6f 74 Object.setProtot
000289e0: 79 70 65 4f 66 3f 4f 62 6a 65 63 74 2e 73 65 74 ypeOf?Object.set
000289f0: 50 72 6f 74 6f 74 79 70 65 4f 66 2e 62 69 6e 64 PrototypeOf.bind
00028a00: 28 29 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 ():function(e,t)
00028a10: 7b 72 65 74 75 72 6e 20 65 2e 5f 5f 70 72 6f 74 {return e.__prot
00028a20: 6f 5f 5f 3d 74 2c 65 7d 2c 43 65 28 65 2c 74 29 o__=t,e},Ce(e,t)
00028a30: 7d 66 75 6e 63 74 69 6f 6e 20 52 65 28 65 2c 74 }function Re(e,t
00028a40: 29 7b 65 2e 70 72 6f 74 6f 74 79 70 65 3d 4f 62 ){e.prototype=Ob
00028a50: 6a 65 63 74 2e 63 72 65 61 74 65 28 74 2e 70 72 ject.create(t.pr
00028a60: 6f 74 6f 74 79 70 65 29 2c 65 2e 70 72 6f 74 6f ototype),e.proto
00028a70: 74 79 70 65 2e 63 6f 6e 73 74 72 75 63 74 6f 72 type.constructor
00028a80: 3d 65 2c 43 65 28 65 2c 74 29 7d 66 75 6e 63 74 =e,Ce(e,t)}funct
00028a90: 69 6f 6e 20 6b 65 28 29 7b 72 65 74 75 72 6e 20 ion ke(){return
00028aa0: 6b 65 3d 4f 62 6a 65 63 74 2e 61 73 73 69 67 6e ke=Object.assign
00028ab0: 3f 4f 62 6a 65 63 74 2e 61 73 73 69 67 6e 2e 62 ?Object.assign.b
00028ac0: 69 6e 64 28 29 3a 66 75 6e 63 74 69 6f 6e 28 65 ind():function(e
00028ad0: 29 7b 66 6f 72 28 76 61 72 20 74 3d 31 3b 74 3c ){for(var t=1;t<
00028ae0: 61 72 67 75 6d 65 6e 74 73 2e 6c 65 6e 67 74 68 arguments.length
00028af0: 3b 74 2b 2b 29 7b 76 61 72 20 6e 3d 61 72 67 75 ;t++){var n=argu
00028b00: 6d 65 6e 74 73 5b 74 5d 3b 66 6f 72 28 76 61 72 ments[t];for(var
00028b10: 20 72 20 69 6e 20 6e 29 4f 62 6a 65 63 74 2e 70 r in n)Object.p
00028b20: 72 6f 74 6f 74 79 70 65 2e 68 61 73 4f 77 6e 50 rototype.hasOwnP
00028b30: 72 6f 70 65 72 74 79 2e 63 61 6c 6c 28 6e 2c 72 roperty.call(n,r
00028b40: 29 26 26 28 65 5b 72 5d 3d 6e 5b 72 5d 29 7d 72 )&&(e[r]=n[r])}r
00028b50: 65 74 75 72 6e 20 65 7d 2c 6b 65 2e 61 70 70 6c eturn e},ke.appl
00028b60: 79 28 74 68 69 73 2c 61 72 67 75 6d 65 6e 74 73 y(this,arguments
00028b70: 29 7d 66 75 6e 63 74 69 6f 6e 20 4f 65 28 65 2c )}function Oe(e,
00028b80: 74 29 7b 69 66 28 6e 75 6c 6c 3d 3d 65 29 72 65 t){if(null==e)re
00028b90: 74 75 72 6e 7b 7d 3b 76 61 72 20 6e 2c 72 2c 6f turn{};var n,r,o
00028ba0: 3d 7b 7d 2c 69 3d 4f 62 6a 65 63 74 2e 6b 65 79 ={},i=Object.key
00028bb0: 73 28 65 29 3b 66 6f 72 28 72 3d 30 3b 72 3c 69 s(e);for(r=0;r<i
00028bc0: 2e 6c 65 6e 67 74 68 3b 72 2b 2b 29 6e 3d 69 5b .length;r++)n=i[
00028bd0: 72 5d 2c 74 2e 69 6e 64 65 78 4f 66 28 6e 29 3e r],t.indexOf(n)>
00028be0: 3d 30 7c 7c 28 6f 5b 6e 5d 3d 65 5b 6e 5d 29 3b =0||(o[n]=e[n]);
00028bf0: 72 65 74 75 72 6e 20 6f 7d 76 61 72 20 4e 65 3d return o}var Ne=
00028c00: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 66 75 6e 63 function(e){func
00028c10: 74 69 6f 6e 20 74 28 29 7b 66 6f 72 28 76 61 72 tion t(){for(var
00028c20: 20 74 2c 6e 3d 61 72 67 75 6d 65 6e 74 73 2e 6c t,n=arguments.l
00028c30: 65 6e 67 74 68 2c 72 3d 6e 65 77 20 41 72 72 61 ength,r=new Arra
00028c40: 79 28 6e 29 2c 6f 3d 30 3b 6f 3c 6e 3b 6f 2b 2b y(n),o=0;o<n;o++
00028c50: 29 72 5b 6f 5d 3d 61 72 67 75 6d 65 6e 74 73 5b )r[o]=arguments[
00028c60: 6f 5d 3b 72 65 74 75 72 6e 28 74 3d 65 2e 63 61 o];return(t=e.ca
00028c70: 6c 6c 2e 61 70 70 6c 79 28 65 2c 5b 74 68 69 73 ll.apply(e,[this
00028c80: 5d 2e 63 6f 6e 63 61 74 28 72 29 29 7c 7c 74 68 ].concat(r))||th
00028c90: 69 73 29 2e 68 69 73 74 6f 72 79 3d 51 28 74 2e is).history=Q(t.
00028ca0: 70 72 6f 70 73 29 2c 74 7d 72 65 74 75 72 6e 20 props),t}return
00028cb0: 52 65 28 74 2c 65 29 2c 74 2e 70 72 6f 74 6f 74 Re(t,e),t.protot
00028cc0: 79 70 65 2e 72 65 6e 64 65 72 3d 66 75 6e 63 74 ype.render=funct
00028cd0: 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 72 2e 63 ion(){return r.c
00028ce0: 72 65 61 74 65 45 6c 65 6d 65 6e 74 28 6d 65 2c reateElement(me,
00028cf0: 7b 68 69 73 74 6f 72 79 3a 74 68 69 73 2e 68 69 {history:this.hi
00028d00: 73 74 6f 72 79 2c 63 68 69 6c 64 72 65 6e 3a 74 story,children:t
00028d10: 68 69 73 2e 70 72 6f 70 73 2e 63 68 69 6c 64 72 his.props.childr
00028d20: 65 6e 7d 29 7d 2c 74 7d 28 72 2e 43 6f 6d 70 6f en})},t}(r.Compo
00028d30: 6e 65 6e 74 29 3b 72 2e 43 6f 6d 70 6f 6e 65 6e nent);r.Componen
00028d40: 74 3b 76 61 72 20 49 65 3d 66 75 6e 63 74 69 6f t;var Ie=functio
00028d50: 6e 28 65 2c 74 29 7b 72 65 74 75 72 6e 22 66 75 n(e,t){return"fu
00028d60: 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 nction"==typeof
00028d70: 65 3f 65 28 74 29 3a 65 7d 2c 4d 65 3d 66 75 6e e?e(t):e},Me=fun
00028d80: 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 74 75 72 ction(e,t){retur
00028d90: 6e 22 73 74 72 69 6e 67 22 3d 3d 74 79 70 65 6f n"string"==typeo
00028da0: 66 20 65 3f 56 28 65 2c 6e 75 6c 6c 2c 6e 75 6c f e?V(e,null,nul
00028db0: 6c 2c 74 29 3a 65 7d 2c 50 65 3d 66 75 6e 63 74 l,t):e},Pe=funct
00028dc0: 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 65 7d ion(e){return e}
00028dd0: 2c 44 65 3d 72 2e 66 6f 72 77 61 72 64 52 65 66 ,De=r.forwardRef
00028de0: 3b 76 6f 69 64 20 30 3d 3d 3d 44 65 26 26 28 44 ;void 0===De&&(D
00028df0: 65 3d 50 65 29 3b 76 61 72 20 4c 65 3d 44 65 28 e=Pe);var Le=De(
00028e00: 28 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 76 (function(e,t){v
00028e10: 61 72 20 6e 3d 65 2e 69 6e 6e 65 72 52 65 66 2c ar n=e.innerRef,
00028e20: 6f 3d 65 2e 6e 61 76 69 67 61 74 65 2c 69 3d 65 o=e.navigate,i=e
00028e30: 2e 6f 6e 43 6c 69 63 6b 2c 61 3d 4f 65 28 65 2c .onClick,a=Oe(e,
00028e40: 5b 22 69 6e 6e 65 72 52 65 66 22 2c 22 6e 61 76 ["innerRef","nav
00028e50: 69 67 61 74 65 22 2c 22 6f 6e 43 6c 69 63 6b 22 igate","onClick"
00028e60: 5d 29 2c 73 3d 61 2e 74 61 72 67 65 74 2c 6c 3d ]),s=a.target,l=
00028e70: 6b 65 28 7b 7d 2c 61 2c 7b 6f 6e 43 6c 69 63 6b ke({},a,{onClick
00028e80: 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 74 72 79 :function(e){try
00028e90: 7b 69 26 26 69 28 65 29 7d 63 61 74 63 68 28 74 {i&&i(e)}catch(t
00028ea0: 29 7b 74 68 72 6f 77 20 65 2e 70 72 65 76 65 6e ){throw e.preven
00028eb0: 74 44 65 66 61 75 6c 74 28 29 2c 74 7d 65 2e 64 tDefault(),t}e.d
00028ec0: 65 66 61 75 6c 74 50 72 65 76 65 6e 74 65 64 7c efaultPrevented|
00028ed0: 7c 30 21 3d 3d 65 2e 62 75 74 74 6f 6e 7c 7c 73 |0!==e.button||s
00028ee0: 26 26 22 5f 73 65 6c 66 22 21 3d 3d 73 7c 7c 66 &&"_self"!==s||f
00028ef0: 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 unction(e){retur
00028f00: 6e 21 21 28 65 2e 6d 65 74 61 4b 65 79 7c 7c 65 n!!(e.metaKey||e
00028f10: 2e 61 6c 74 4b 65 79 7c 7c 65 2e 63 74 72 6c 4b .altKey||e.ctrlK
00028f20: 65 79 7c 7c 65 2e 73 68 69 66 74 4b 65 79 29 7d ey||e.shiftKey)}
00028f30: 28 65 29 7c 7c 28 65 2e 70 72 65 76 65 6e 74 44 (e)||(e.preventD
00028f40: 65 66 61 75 6c 74 28 29 2c 6f 28 29 29 7d 7d 29 efault(),o())}})
00028f50: 3b 72 65 74 75 72 6e 20 6c 2e 72 65 66 3d 50 65 ;return l.ref=Pe
00028f60: 21 3d 3d 44 65 26 26 74 7c 7c 6e 2c 72 2e 63 72 !==De&&t||n,r.cr
00028f70: 65 61 74 65 45 6c 65 6d 65 6e 74 28 22 61 22 2c eateElement("a",
00028f80: 6c 29 7d 29 29 3b 76 61 72 20 46 65 3d 44 65 28 l)}));var Fe=De(
00028f90: 28 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 76 (function(e,t){v
00028fa0: 61 72 20 6e 3d 65 2e 63 6f 6d 70 6f 6e 65 6e 74 ar n=e.component
00028fb0: 2c 6f 3d 76 6f 69 64 20 30 3d 3d 3d 6e 3f 4c 65 ,o=void 0===n?Le
00028fc0: 3a 6e 2c 69 3d 65 2e 72 65 70 6c 61 63 65 2c 61 :n,i=e.replace,a
00028fd0: 3d 65 2e 74 6f 2c 73 3d 65 2e 69 6e 6e 65 72 52 =e.to,s=e.innerR
00028fe0: 65 66 2c 6c 3d 4f 65 28 65 2c 5b 22 63 6f 6d 70 ef,l=Oe(e,["comp
00028ff0: 6f 6e 65 6e 74 22 2c 22 72 65 70 6c 61 63 65 22 onent","replace"
00029000: 2c 22 74 6f 22 2c 22 69 6e 6e 65 72 52 65 66 22 ,"to","innerRef"
00029010: 5d 29 3b 72 65 74 75 72 6e 20 72 2e 63 72 65 61 ]);return r.crea
00029020: 74 65 45 6c 65 6d 65 6e 74 28 66 65 2e 43 6f 6e teElement(fe.Con
00029030: 73 75 6d 65 72 2c 6e 75 6c 6c 2c 28 66 75 6e 63 sumer,null,(func
00029040: 74 69 6f 6e 28 65 29 7b 65 7c 7c 55 28 21 31 29 tion(e){e||U(!1)
00029050: 3b 76 61 72 20 6e 3d 65 2e 68 69 73 74 6f 72 79 ;var n=e.history
00029060: 2c 63 3d 4d 65 28 49 65 28 61 2c 65 2e 6c 6f 63 ,c=Me(Ie(a,e.loc
00029070: 61 74 69 6f 6e 29 2c 65 2e 6c 6f 63 61 74 69 6f ation),e.locatio
00029080: 6e 29 2c 75 3d 63 3f 6e 2e 63 72 65 61 74 65 48 n),u=c?n.createH
00029090: 72 65 66 28 63 29 3a 22 22 2c 64 3d 6b 65 28 7b ref(c):"",d=ke({
000290a0: 7d 2c 6c 2c 7b 68 72 65 66 3a 75 2c 6e 61 76 69 },l,{href:u,navi
000290b0: 67 61 74 65 3a 66 75 6e 63 74 69 6f 6e 28 29 7b gate:function(){
000290c0: 76 61 72 20 74 3d 49 65 28 61 2c 65 2e 6c 6f 63 var t=Ie(a,e.loc
000290d0: 61 74 69 6f 6e 29 2c 72 3d 48 28 65 2e 6c 6f 63 ation),r=H(e.loc
000290e0: 61 74 69 6f 6e 29 3d 3d 3d 48 28 4d 65 28 74 29 ation)===H(Me(t)
000290f0: 29 3b 28 69 7c 7c 72 3f 6e 2e 72 65 70 6c 61 63 );(i||r?n.replac
00029100: 65 3a 6e 2e 70 75 73 68 29 28 74 29 7d 7d 29 3b e:n.push)(t)}});
00029110: 72 65 74 75 72 6e 20 50 65 21 3d 3d 44 65 3f 64 return Pe!==De?d
00029120: 2e 72 65 66 3d 74 7c 7c 73 3a 64 2e 69 6e 6e 65 .ref=t||s:d.inne
00029130: 72 52 65 66 3d 73 2c 72 2e 63 72 65 61 74 65 45 rRef=s,r.createE
00029140: 6c 65 6d 65 6e 74 28 6f 2c 64 29 7d 29 29 7d 29 lement(o,d)}))})
00029150: 29 2c 55 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 ),Ue=function(e)
00029160: 7b 72 65 74 75 72 6e 20 65 7d 2c 42 65 3d 72 2e {return e},Be=r.
00029170: 66 6f 72 77 61 72 64 52 65 66 3b 76 6f 69 64 20 forwardRef;void
00029180: 30 3d 3d 3d 42 65 26 26 28 42 65 3d 55 65 29 3b 0===Be&&(Be=Ue);
00029190: 42 65 28 28 66 75 6e 63 74 69 6f 6e 28 65 2c 74 Be((function(e,t
000291a0: 29 7b 76 61 72 20 6e 3d 65 5b 22 61 72 69 61 2d ){var n=e["aria-
000291b0: 63 75 72 72 65 6e 74 22 5d 2c 6f 3d 76 6f 69 64 current"],o=void
000291c0: 20 30 3d 3d 3d 6e 3f 22 70 61 67 65 22 3a 6e 2c 0===n?"page":n,
000291d0: 69 3d 65 2e 61 63 74 69 76 65 43 6c 61 73 73 4e i=e.activeClassN
000291e0: 61 6d 65 2c 61 3d 76 6f 69 64 20 30 3d 3d 3d 69 ame,a=void 0===i
000291f0: 3f 22 61 63 74 69 76 65 22 3a 69 2c 73 3d 65 2e ?"active":i,s=e.
00029200: 61 63 74 69 76 65 53 74 79 6c 65 2c 6c 3d 65 2e activeStyle,l=e.
00029210: 63 6c 61 73 73 4e 61 6d 65 2c 63 3d 65 2e 65 78 className,c=e.ex
00029220: 61 63 74 2c 75 3d 65 2e 69 73 41 63 74 69 76 65 act,u=e.isActive
00029230: 2c 64 3d 65 2e 6c 6f 63 61 74 69 6f 6e 2c 70 3d ,d=e.location,p=
00029240: 65 2e 73 65 6e 73 69 74 69 76 65 2c 66 3d 65 2e e.sensitive,f=e.
00029250: 73 74 72 69 63 74 2c 6d 3d 65 2e 73 74 79 6c 65 strict,m=e.style
00029260: 2c 68 3d 65 2e 74 6f 2c 67 3d 65 2e 69 6e 6e 65 ,h=e.to,g=e.inne
00029270: 72 52 65 66 2c 62 3d 4f 65 28 65 2c 5b 22 61 72 rRef,b=Oe(e,["ar
00029280: 69 61 2d 63 75 72 72 65 6e 74 22 2c 22 61 63 74 ia-current","act
00029290: 69 76 65 43 6c 61 73 73 4e 61 6d 65 22 2c 22 61 iveClassName","a
000292a0: 63 74 69 76 65 53 74 79 6c 65 22 2c 22 63 6c 61 ctiveStyle","cla
000292b0: 73 73 4e 61 6d 65 22 2c 22 65 78 61 63 74 22 2c ssName","exact",
000292c0: 22 69 73 41 63 74 69 76 65 22 2c 22 6c 6f 63 61 "isActive","loca
000292d0: 74 69 6f 6e 22 2c 22 73 65 6e 73 69 74 69 76 65 tion","sensitive
000292e0: 22 2c 22 73 74 72 69 63 74 22 2c 22 73 74 79 6c ","strict","styl
000292f0: 65 22 2c 22 74 6f 22 2c 22 69 6e 6e 65 72 52 65 e","to","innerRe
00029300: 66 22 5d 29 3b 72 65 74 75 72 6e 20 72 2e 63 72 f"]);return r.cr
00029310: 65 61 74 65 45 6c 65 6d 65 6e 74 28 66 65 2e 43 eateElement(fe.C
00029320: 6f 6e 73 75 6d 65 72 2c 6e 75 6c 6c 2c 28 66 75 onsumer,null,(fu
00029330: 6e 63 74 69 6f 6e 28 65 29 7b 65 7c 7c 55 28 21 nction(e){e||U(!
00029340: 31 29 3b 76 61 72 20 6e 3d 64 7c 7c 65 2e 6c 6f 1);var n=d||e.lo
00029350: 63 61 74 69 6f 6e 2c 69 3d 4d 65 28 49 65 28 68 cation,i=Me(Ie(h
00029360: 2c 6e 29 2c 6e 29 2c 76 3d 69 2e 70 61 74 68 6e ,n),n),v=i.pathn
00029370: 61 6d 65 2c 79 3d 76 26 26 76 2e 72 65 70 6c 61 ame,y=v&&v.repla
00029380: 63 65 28 2f 28 5b 2e 2b 2a 3f 3d 5e 21 3a 24 7b ce(/([.+*?=^!:${
00029390: 7d 28 29 5b 5c 5d 7c 2f 5c 5c 5d 29 2f 67 2c 22 }()[\]|/\\])/g,"
000293a0: 5c 5c 24 31 22 29 2c 45 3d 79 3f 76 65 28 6e 2e \\$1"),E=y?ve(n.
000293b0: 70 61 74 68 6e 61 6d 65 2c 7b 70 61 74 68 3a 79 pathname,{path:y
000293c0: 2c 65 78 61 63 74 3a 63 2c 73 65 6e 73 69 74 69 ,exact:c,sensiti
000293d0: 76 65 3a 70 2c 73 74 72 69 63 74 3a 66 7d 29 3a ve:p,strict:f}):
000293e0: 6e 75 6c 6c 2c 5f 3d 21 21 28 75 3f 75 28 45 2c null,_=!!(u?u(E,
000293f0: 6e 29 3a 45 29 2c 53 3d 22 66 75 6e 63 74 69 6f n):E),S="functio
00029400: 6e 22 3d 3d 74 79 70 65 6f 66 20 6c 3f 6c 28 5f n"==typeof l?l(_
00029410: 29 3a 6c 2c 77 3d 22 66 75 6e 63 74 69 6f 6e 22 ):l,w="function"
00029420: 3d 3d 74 79 70 65 6f 66 20 6d 3f 6d 28 5f 29 3a ==typeof m?m(_):
00029430: 6d 3b 5f 26 26 28 53 3d 66 75 6e 63 74 69 6f 6e m;_&&(S=function
00029440: 28 29 7b 66 6f 72 28 76 61 72 20 65 3d 61 72 67 (){for(var e=arg
00029450: 75 6d 65 6e 74 73 2e 6c 65 6e 67 74 68 2c 74 3d uments.length,t=
00029460: 6e 65 77 20 41 72 72 61 79 28 65 29 2c 6e 3d 30 new Array(e),n=0
00029470: 3b 6e 3c 65 3b 6e 2b 2b 29 74 5b 6e 5d 3d 61 72 ;n<e;n++)t[n]=ar
00029480: 67 75 6d 65 6e 74 73 5b 6e 5d 3b 72 65 74 75 72 guments[n];retur
00029490: 6e 20 74 2e 66 69 6c 74 65 72 28 28 66 75 6e 63 n t.filter((func
000294a0: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 65 tion(e){return e
000294b0: 7d 29 29 2e 6a 6f 69 6e 28 22 20 22 29 7d 28 53 })).join(" ")}(S
000294c0: 2c 61 29 2c 77 3d 6b 65 28 7b 7d 2c 77 2c 73 29 ,a),w=ke({},w,s)
000294d0: 29 3b 76 61 72 20 54 3d 6b 65 28 7b 22 61 72 69 );var T=ke({"ari
000294e0: 61 2d 63 75 72 72 65 6e 74 22 3a 5f 26 26 6f 7c a-current":_&&o|
000294f0: 7c 6e 75 6c 6c 2c 63 6c 61 73 73 4e 61 6d 65 3a |null,className:
00029500: 53 2c 73 74 79 6c 65 3a 77 2c 74 6f 3a 69 7d 2c S,style:w,to:i},
00029510: 62 29 3b 72 65 74 75 72 6e 20 55 65 21 3d 3d 42 b);return Ue!==B
00029520: 65 3f 54 2e 72 65 66 3d 74 7c 7c 67 3a 54 2e 69 e?T.ref=t||g:T.i
00029530: 6e 6e 65 72 52 65 66 3d 67 2c 72 2e 63 72 65 61 nnerRef=g,r.crea
00029540: 74 65 45 6c 65 6d 65 6e 74 28 46 65 2c 54 29 7d teElement(Fe,T)}
00029550: 29 29 7d 29 29 3b 76 61 72 20 6a 65 3d 6e 28 31 ))}));var je=n(1
00029560: 37 39 36 37 29 3b 66 75 6e 63 74 69 6f 6e 20 7a 7967);function z
00029570: 65 28 65 29 7b 72 65 74 75 72 6e 20 7a 65 3d 22 e(e){return ze="
00029580: 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f function"==typeo
00029590: 66 20 53 79 6d 62 6f 6c 26 26 22 73 79 6d 62 6f f Symbol&&"symbo
000295a0: 6c 22 3d 3d 74 79 70 65 6f 66 20 53 79 6d 62 6f l"==typeof Symbo
000295b0: 6c 2e 69 74 65 72 61 74 6f 72 3f 66 75 6e 63 74 l.iterator?funct
000295c0: 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 79 ion(e){return ty
000295d0: 70 65 6f 66 20 65 7d 3a 66 75 6e 63 74 69 6f 6e peof e}:function
000295e0: 28 65 29 7b 72 65 74 75 72 6e 20 65 26 26 22 66 (e){return e&&"f
000295f0: 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 unction"==typeof
00029600: 20 53 79 6d 62 6f 6c 26 26 65 2e 63 6f 6e 73 74 Symbol&&e.const
00029610: 72 75 63 74 6f 72 3d 3d 3d 53 79 6d 62 6f 6c 26 ructor===Symbol&
00029620: 26 65 21 3d 3d 53 79 6d 62 6f 6c 2e 70 72 6f 74 &e!==Symbol.prot
00029630: 6f 74 79 70 65 3f 22 73 79 6d 62 6f 6c 22 3a 74 otype?"symbol":t
00029640: 79 70 65 6f 66 20 65 7d 2c 7a 65 28 65 29 7d 66 ypeof e},ze(e)}f
00029650: 75 6e 63 74 69 6f 6e 20 47 65 28 29 7b 47 65 3d unction Ge(){Ge=
00029660: 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 function(){retur
00029670: 6e 20 65 7d 3b 76 61 72 20 65 3d 7b 7d 2c 74 3d n e};var e={},t=
00029680: 4f 62 6a 65 63 74 2e 70 72 6f 74 6f 74 79 70 65 Object.prototype
00029690: 2c 6e 3d 74 2e 68 61 73 4f 77 6e 50 72 6f 70 65 ,n=t.hasOwnPrope
000296a0: 72 74 79 2c 72 3d 4f 62 6a 65 63 74 2e 64 65 66 rty,r=Object.def
000296b0: 69 6e 65 50 72 6f 70 65 72 74 79 7c 7c 66 75 6e ineProperty||fun
000296c0: 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 65 5b 74 ction(e,t,n){e[t
000296d0: 5d 3d 6e 2e 76 61 6c 75 65 7d 2c 6f 3d 22 66 75 ]=n.value},o="fu
000296e0: 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 nction"==typeof
000296f0: 53 79 6d 62 6f 6c 3f 53 79 6d 62 6f 6c 3a 7b 7d Symbol?Symbol:{}
00029700: 2c 69 3d 6f 2e 69 74 65 72 61 74 6f 72 7c 7c 22 ,i=o.iterator||"
00029710: 40 40 69 74 65 72 61 74 6f 72 22 2c 61 3d 6f 2e @@iterator",a=o.
00029720: 61 73 79 6e 63 49 74 65 72 61 74 6f 72 7c 7c 22 asyncIterator||"
00029730: 40 40 61 73 79 6e 63 49 74 65 72 61 74 6f 72 22 @@asyncIterator"
00029740: 2c 73 3d 6f 2e 74 6f 53 74 72 69 6e 67 54 61 67 ,s=o.toStringTag
00029750: 7c 7c 22 40 40 74 6f 53 74 72 69 6e 67 54 61 67 ||"@@toStringTag
00029760: 22 3b 66 75 6e 63 74 69 6f 6e 20 6c 28 65 2c 74 ";function l(e,t
00029770: 2c 6e 29 7b 72 65 74 75 72 6e 20 4f 62 6a 65 63 ,n){return Objec
00029780: 74 2e 64 65 66 69 6e 65 50 72 6f 70 65 72 74 79 t.defineProperty
00029790: 28 65 2c 74 2c 7b 76 61 6c 75 65 3a 6e 2c 65 6e (e,t,{value:n,en
000297a0: 75 6d 65 72 61 62 6c 65 3a 21 30 2c 63 6f 6e 66 umerable:!0,conf
000297b0: 69 67 75 72 61 62 6c 65 3a 21 30 2c 77 72 69 74 igurable:!0,writ
000297c0: 61 62 6c 65 3a 21 30 7d 29 2c 65 5b 74 5d 7d 74 able:!0}),e[t]}t
000297d0: 72 79 7b 6c 28 7b 7d 2c 22 22 29 7d 63 61 74 63 ry{l({},"")}catc
000297e0: 68 28 65 29 7b 6c 3d 66 75 6e 63 74 69 6f 6e 28 h(e){l=function(
000297f0: 65 2c 74 2c 6e 29 7b 72 65 74 75 72 6e 20 65 5b e,t,n){return e[
00029800: 74 5d 3d 6e 7d 7d 66 75 6e 63 74 69 6f 6e 20 63 t]=n}}function c
00029810: 28 65 2c 74 2c 6e 2c 6f 29 7b 76 61 72 20 69 3d (e,t,n,o){var i=
00029820: 74 26 26 74 2e 70 72 6f 74 6f 74 79 70 65 20 69 t&&t.prototype i
00029830: 6e 73 74 61 6e 63 65 6f 66 20 70 3f 74 3a 70 2c nstanceof p?t:p,
00029840: 61 3d 4f 62 6a 65 63 74 2e 63 72 65 61 74 65 28 a=Object.create(
00029850: 69 2e 70 72 6f 74 6f 74 79 70 65 29 2c 73 3d 6e i.prototype),s=n
00029860: 65 77 20 78 28 6f 7c 7c 5b 5d 29 3b 72 65 74 75 ew x(o||[]);retu
00029870: 72 6e 20 72 28 61 2c 22 5f 69 6e 76 6f 6b 65 22 rn r(a,"_invoke"
00029880: 2c 7b 76 61 6c 75 65 3a 5f 28 65 2c 6e 2c 73 29 ,{value:_(e,n,s)
00029890: 7d 29 2c 61 7d 66 75 6e 63 74 69 6f 6e 20 75 28 }),a}function u(
000298a0: 65 2c 74 2c 6e 29 7b 74 72 79 7b 72 65 74 75 72 e,t,n){try{retur
000298b0: 6e 7b 74 79 70 65 3a 22 6e 6f 72 6d 61 6c 22 2c n{type:"normal",
000298c0: 61 72 67 3a 65 2e 63 61 6c 6c 28 74 2c 6e 29 7d arg:e.call(t,n)}
000298d0: 7d 63 61 74 63 68 28 65 29 7b 72 65 74 75 72 6e }catch(e){return
000298e0: 7b 74 79 70 65 3a 22 74 68 72 6f 77 22 2c 61 72 {type:"throw",ar
000298f0: 67 3a 65 7d 7d 7d 65 2e 77 72 61 70 3d 63 3b 76 g:e}}}e.wrap=c;v
00029900: 61 72 20 64 3d 7b 7d 3b 66 75 6e 63 74 69 6f 6e ar d={};function
00029910: 20 70 28 29 7b 7d 66 75 6e 63 74 69 6f 6e 20 66 p(){}function f
00029920: 28 29 7b 7d 66 75 6e 63 74 69 6f 6e 20 6d 28 29 (){}function m()
00029930: 7b 7d 76 61 72 20 68 3d 7b 7d 3b 6c 28 68 2c 69 {}var h={};l(h,i
00029940: 2c 28 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 ,(function(){ret
00029950: 75 72 6e 20 74 68 69 73 7d 29 29 3b 76 61 72 20 urn this}));var
00029960: 67 3d 4f 62 6a 65 63 74 2e 67 65 74 50 72 6f 74 g=Object.getProt
00029970: 6f 74 79 70 65 4f 66 2c 62 3d 67 26 26 67 28 67 otypeOf,b=g&&g(g
00029980: 28 41 28 5b 5d 29 29 29 3b 62 26 26 62 21 3d 3d (A([])));b&&b!==
00029990: 74 26 26 6e 2e 63 61 6c 6c 28 62 2c 69 29 26 26 t&&n.call(b,i)&&
000299a0: 28 68 3d 62 29 3b 76 61 72 20 76 3d 6d 2e 70 72 (h=b);var v=m.pr
000299b0: 6f 74 6f 74 79 70 65 3d 70 2e 70 72 6f 74 6f 74 ototype=p.protot
000299c0: 79 70 65 3d 4f 62 6a 65 63 74 2e 63 72 65 61 74 ype=Object.creat
000299d0: 65 28 68 29 3b 66 75 6e 63 74 69 6f 6e 20 79 28 e(h);function y(
000299e0: 65 29 7b 5b 22 6e 65 78 74 22 2c 22 74 68 72 6f e){["next","thro
000299f0: 77 22 2c 22 72 65 74 75 72 6e 22 5d 2e 66 6f 72 w","return"].for
00029a00: 45 61 63 68 28 28 66 75 6e 63 74 69 6f 6e 28 74 Each((function(t
00029a10: 29 7b 6c 28 65 2c 74 2c 28 66 75 6e 63 74 69 6f ){l(e,t,(functio
00029a20: 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 73 n(e){return this
00029a30: 2e 5f 69 6e 76 6f 6b 65 28 74 2c 65 29 7d 29 29 ._invoke(t,e)}))
00029a40: 7d 29 29 7d 66 75 6e 63 74 69 6f 6e 20 45 28 65 }))}function E(e
00029a50: 2c 74 29 7b 66 75 6e 63 74 69 6f 6e 20 6f 28 72 ,t){function o(r
00029a60: 2c 69 2c 61 2c 73 29 7b 76 61 72 20 6c 3d 75 28 ,i,a,s){var l=u(
00029a70: 65 5b 72 5d 2c 65 2c 69 29 3b 69 66 28 22 74 68 e[r],e,i);if("th
00029a80: 72 6f 77 22 21 3d 3d 6c 2e 74 79 70 65 29 7b 76 row"!==l.type){v
00029a90: 61 72 20 63 3d 6c 2e 61 72 67 2c 64 3d 63 2e 76 ar c=l.arg,d=c.v
00029aa0: 61 6c 75 65 3b 72 65 74 75 72 6e 20 64 26 26 22 alue;return d&&"
00029ab0: 6f 62 6a 65 63 74 22 3d 3d 7a 65 28 64 29 26 26 object"==ze(d)&&
00029ac0: 6e 2e 63 61 6c 6c 28 64 2c 22 5f 5f 61 77 61 69 n.call(d,"__awai
00029ad0: 74 22 29 3f 74 2e 72 65 73 6f 6c 76 65 28 64 2e t")?t.resolve(d.
00029ae0: 5f 5f 61 77 61 69 74 29 2e 74 68 65 6e 28 28 66 __await).then((f
00029af0: 75 6e 63 74 69 6f 6e 28 65 29 7b 6f 28 22 6e 65 unction(e){o("ne
00029b00: 78 74 22 2c 65 2c 61 2c 73 29 7d 29 2c 28 66 75 xt",e,a,s)}),(fu
00029b10: 6e 63 74 69 6f 6e 28 65 29 7b 6f 28 22 74 68 72 nction(e){o("thr
00029b20: 6f 77 22 2c 65 2c 61 2c 73 29 7d 29 29 3a 74 2e ow",e,a,s)})):t.
00029b30: 72 65 73 6f 6c 76 65 28 64 29 2e 74 68 65 6e 28 resolve(d).then(
00029b40: 28 66 75 6e 63 74 69 6f 6e 28 65 29 7b 63 2e 76 (function(e){c.v
00029b50: 61 6c 75 65 3d 65 2c 61 28 63 29 7d 29 2c 28 66 alue=e,a(c)}),(f
00029b60: 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 unction(e){retur
00029b70: 6e 20 6f 28 22 74 68 72 6f 77 22 2c 65 2c 61 2c n o("throw",e,a,
00029b80: 73 29 7d 29 29 7d 73 28 6c 2e 61 72 67 29 7d 76 s)}))}s(l.arg)}v
00029b90: 61 72 20 69 3b 72 28 74 68 69 73 2c 22 5f 69 6e ar i;r(this,"_in
00029ba0: 76 6f 6b 65 22 2c 7b 76 61 6c 75 65 3a 66 75 6e voke",{value:fun
00029bb0: 63 74 69 6f 6e 28 65 2c 6e 29 7b 66 75 6e 63 74 ction(e,n){funct
00029bc0: 69 6f 6e 20 72 28 29 7b 72 65 74 75 72 6e 20 6e ion r(){return n
00029bd0: 65 77 20 74 28 28 66 75 6e 63 74 69 6f 6e 28 74 ew t((function(t
00029be0: 2c 72 29 7b 6f 28 65 2c 6e 2c 74 2c 72 29 7d 29 ,r){o(e,n,t,r)})
00029bf0: 29 7d 72 65 74 75 72 6e 20 69 3d 69 3f 69 2e 74 )}return i=i?i.t
00029c00: 68 65 6e 28 72 2c 72 29 3a 72 28 29 7d 7d 29 7d hen(r,r):r()}})}
00029c10: 66 75 6e 63 74 69 6f 6e 20 5f 28 65 2c 74 2c 6e function _(e,t,n
00029c20: 29 7b 76 61 72 20 72 3d 22 73 75 73 70 65 6e 64 ){var r="suspend
00029c30: 65 64 53 74 61 72 74 22 3b 72 65 74 75 72 6e 20 edStart";return
00029c40: 66 75 6e 63 74 69 6f 6e 28 6f 2c 69 29 7b 69 66 function(o,i){if
00029c50: 28 22 65 78 65 63 75 74 69 6e 67 22 3d 3d 3d 72 ("executing"===r
00029c60: 29 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 )throw new Error
00029c70: 28 22 47 65 6e 65 72 61 74 6f 72 20 69 73 20 61 ("Generator is a
00029c80: 6c 72 65 61 64 79 20 72 75 6e 6e 69 6e 67 22 29 lready running")
00029c90: 3b 69 66 28 22 63 6f 6d 70 6c 65 74 65 64 22 3d ;if("completed"=
00029ca0: 3d 3d 72 29 7b 69 66 28 22 74 68 72 6f 77 22 3d ==r){if("throw"=
00029cb0: 3d 3d 6f 29 74 68 72 6f 77 20 69 3b 72 65 74 75 ==o)throw i;retu
00029cc0: 72 6e 20 43 28 29 7d 66 6f 72 28 6e 2e 6d 65 74 rn C()}for(n.met
00029cd0: 68 6f 64 3d 6f 2c 6e 2e 61 72 67 3d 69 3b 3b 29 hod=o,n.arg=i;;)
00029ce0: 7b 76 61 72 20 61 3d 6e 2e 64 65 6c 65 67 61 74 {var a=n.delegat
00029cf0: 65 3b 69 66 28 61 29 7b 76 61 72 20 73 3d 53 28 e;if(a){var s=S(
00029d00: 61 2c 6e 29 3b 69 66 28 73 29 7b 69 66 28 73 3d a,n);if(s){if(s=
00029d10: 3d 3d 64 29 63 6f 6e 74 69 6e 75 65 3b 72 65 74 ==d)continue;ret
00029d20: 75 72 6e 20 73 7d 7d 69 66 28 22 6e 65 78 74 22 urn s}}if("next"
00029d30: 3d 3d 3d 6e 2e 6d 65 74 68 6f 64 29 6e 2e 73 65 ===n.method)n.se
00029d40: 6e 74 3d 6e 2e 5f 73 65 6e 74 3d 6e 2e 61 72 67 nt=n._sent=n.arg
00029d50: 3b 65 6c 73 65 20 69 66 28 22 74 68 72 6f 77 22 ;else if("throw"
00029d60: 3d 3d 3d 6e 2e 6d 65 74 68 6f 64 29 7b 69 66 28 ===n.method){if(
00029d70: 22 73 75 73 70 65 6e 64 65 64 53 74 61 72 74 22 "suspendedStart"
00029d80: 3d 3d 3d 72 29 74 68 72 6f 77 20 72 3d 22 63 6f ===r)throw r="co
00029d90: 6d 70 6c 65 74 65 64 22 2c 6e 2e 61 72 67 3b 6e mpleted",n.arg;n
00029da0: 2e 64 69 73 70 61 74 63 68 45 78 63 65 70 74 69 .dispatchExcepti
00029db0: 6f 6e 28 6e 2e 61 72 67 29 7d 65 6c 73 65 22 72 on(n.arg)}else"r
00029dc0: 65 74 75 72 6e 22 3d 3d 3d 6e 2e 6d 65 74 68 6f eturn"===n.metho
00029dd0: 64 26 26 6e 2e 61 62 72 75 70 74 28 22 72 65 74 d&&n.abrupt("ret
00029de0: 75 72 6e 22 2c 6e 2e 61 72 67 29 3b 72 3d 22 65 urn",n.arg);r="e
00029df0: 78 65 63 75 74 69 6e 67 22 3b 76 61 72 20 6c 3d xecuting";var l=
00029e00: 75 28 65 2c 74 2c 6e 29 3b 69 66 28 22 6e 6f 72 u(e,t,n);if("nor
00029e10: 6d 61 6c 22 3d 3d 3d 6c 2e 74 79 70 65 29 7b 69 mal"===l.type){i
00029e20: 66 28 72 3d 6e 2e 64 6f 6e 65 3f 22 63 6f 6d 70 f(r=n.done?"comp
00029e30: 6c 65 74 65 64 22 3a 22 73 75 73 70 65 6e 64 65 leted":"suspende
00029e40: 64 59 69 65 6c 64 22 2c 6c 2e 61 72 67 3d 3d 3d dYield",l.arg===
00029e50: 64 29 63 6f 6e 74 69 6e 75 65 3b 72 65 74 75 72 d)continue;retur
00029e60: 6e 7b 76 61 6c 75 65 3a 6c 2e 61 72 67 2c 64 6f n{value:l.arg,do
00029e70: 6e 65 3a 6e 2e 64 6f 6e 65 7d 7d 22 74 68 72 6f ne:n.done}}"thro
00029e80: 77 22 3d 3d 3d 6c 2e 74 79 70 65 26 26 28 72 3d w"===l.type&&(r=
00029e90: 22 63 6f 6d 70 6c 65 74 65 64 22 2c 6e 2e 6d 65 "completed",n.me
00029ea0: 74 68 6f 64 3d 22 74 68 72 6f 77 22 2c 6e 2e 61 thod="throw",n.a
00029eb0: 72 67 3d 6c 2e 61 72 67 29 7d 7d 7d 66 75 6e 63 rg=l.arg)}}}func
00029ec0: 74 69 6f 6e 20 53 28 65 2c 74 29 7b 76 61 72 20 tion S(e,t){var
00029ed0: 6e 3d 74 2e 6d 65 74 68 6f 64 2c 72 3d 65 2e 69 n=t.method,r=e.i
00029ee0: 74 65 72 61 74 6f 72 5b 6e 5d 3b 69 66 28 76 6f terator[n];if(vo
00029ef0: 69 64 20 30 3d 3d 3d 72 29 72 65 74 75 72 6e 20 id 0===r)return
00029f00: 74 2e 64 65 6c 65 67 61 74 65 3d 6e 75 6c 6c 2c t.delegate=null,
00029f10: 22 74 68 72 6f 77 22 3d 3d 3d 6e 26 26 65 2e 69 "throw"===n&&e.i
00029f20: 74 65 72 61 74 6f 72 2e 72 65 74 75 72 6e 26 26 terator.return&&
00029f30: 28 74 2e 6d 65 74 68 6f 64 3d 22 72 65 74 75 72 (t.method="retur
00029f40: 6e 22 2c 74 2e 61 72 67 3d 76 6f 69 64 20 30 2c n",t.arg=void 0,
00029f50: 53 28 65 2c 74 29 2c 22 74 68 72 6f 77 22 3d 3d S(e,t),"throw"==
00029f60: 3d 74 2e 6d 65 74 68 6f 64 29 7c 7c 22 72 65 74 =t.method)||"ret
00029f70: 75 72 6e 22 21 3d 3d 6e 26 26 28 74 2e 6d 65 74 urn"!==n&&(t.met
00029f80: 68 6f 64 3d 22 74 68 72 6f 77 22 2c 74 2e 61 72 hod="throw",t.ar
00029f90: 67 3d 6e 65 77 20 54 79 70 65 45 72 72 6f 72 28 g=new TypeError(
00029fa0: 22 54 68 65 20 69 74 65 72 61 74 6f 72 20 64 6f "The iterator do
00029fb0: 65 73 20 6e 6f 74 20 70 72 6f 76 69 64 65 20 61 es not provide a
00029fc0: 20 27 22 2b 6e 2b 22 27 20 6d 65 74 68 6f 64 22 '"+n+"' method"
00029fd0: 29 29 2c 64 3b 76 61 72 20 6f 3d 75 28 72 2c 65 )),d;var o=u(r,e
00029fe0: 2e 69 74 65 72 61 74 6f 72 2c 74 2e 61 72 67 29 .iterator,t.arg)
00029ff0: 3b 69 66 28 22 74 68 72 6f 77 22 3d 3d 3d 6f 2e ;if("throw"===o.
0002a000: 74 79 70 65 29 72 65 74 75 72 6e 20 74 2e 6d 65 type)return t.me
0002a010: 74 68 6f 64 3d 22 74 68 72 6f 77 22 2c 74 2e 61 thod="throw",t.a
0002a020: 72 67 3d 6f 2e 61 72 67 2c 74 2e 64 65 6c 65 67 rg=o.arg,t.deleg
0002a030: 61 74 65 3d 6e 75 6c 6c 2c 64 3b 76 61 72 20 69 ate=null,d;var i
0002a040: 3d 6f 2e 61 72 67 3b 72 65 74 75 72 6e 20 69 3f =o.arg;return i?
0002a050: 69 2e 64 6f 6e 65 3f 28 74 5b 65 2e 72 65 73 75 i.done?(t[e.resu
0002a060: 6c 74 4e 61 6d 65 5d 3d 69 2e 76 61 6c 75 65 2c ltName]=i.value,
0002a070: 74 2e 6e 65 78 74 3d 65 2e 6e 65 78 74 4c 6f 63 t.next=e.nextLoc
0002a080: 2c 22 72 65 74 75 72 6e 22 21 3d 3d 74 2e 6d 65 ,"return"!==t.me
0002a090: 74 68 6f 64 26 26 28 74 2e 6d 65 74 68 6f 64 3d thod&&(t.method=
0002a0a0: 22 6e 65 78 74 22 2c 74 2e 61 72 67 3d 76 6f 69 "next",t.arg=voi
0002a0b0: 64 20 30 29 2c 74 2e 64 65 6c 65 67 61 74 65 3d d 0),t.delegate=
0002a0c0: 6e 75 6c 6c 2c 64 29 3a 69 3a 28 74 2e 6d 65 74 null,d):i:(t.met
0002a0d0: 68 6f 64 3d 22 74 68 72 6f 77 22 2c 74 2e 61 72 hod="throw",t.ar
0002a0e0: 67 3d 6e 65 77 20 54 79 70 65 45 72 72 6f 72 28 g=new TypeError(
0002a0f0: 22 69 74 65 72 61 74 6f 72 20 72 65 73 75 6c 74 "iterator result
0002a100: 20 69 73 20 6e 6f 74 20 61 6e 20 6f 62 6a 65 63 is not an objec
0002a110: 74 22 29 2c 74 2e 64 65 6c 65 67 61 74 65 3d 6e t"),t.delegate=n
0002a120: 75 6c 6c 2c 64 29 7d 66 75 6e 63 74 69 6f 6e 20 ull,d)}function
0002a130: 77 28 65 29 7b 76 61 72 20 74 3d 7b 74 72 79 4c w(e){var t={tryL
0002a140: 6f 63 3a 65 5b 30 5d 7d 3b 31 20 69 6e 20 65 26 oc:e[0]};1 in e&
0002a150: 26 28 74 2e 63 61 74 63 68 4c 6f 63 3d 65 5b 31 &(t.catchLoc=e[1
0002a160: 5d 29 2c 32 20 69 6e 20 65 26 26 28 74 2e 66 69 ]),2 in e&&(t.fi
0002a170: 6e 61 6c 6c 79 4c 6f 63 3d 65 5b 32 5d 2c 74 2e nallyLoc=e[2],t.
0002a180: 61 66 74 65 72 4c 6f 63 3d 65 5b 33 5d 29 2c 74 afterLoc=e[3]),t
0002a190: 68 69 73 2e 74 72 79 45 6e 74 72 69 65 73 2e 70 his.tryEntries.p
0002a1a0: 75 73 68 28 74 29 7d 66 75 6e 63 74 69 6f 6e 20 ush(t)}function
0002a1b0: 54 28 65 29 7b 76 61 72 20 74 3d 65 2e 63 6f 6d T(e){var t=e.com
0002a1c0: 70 6c 65 74 69 6f 6e 7c 7c 7b 7d 3b 74 2e 74 79 pletion||{};t.ty
0002a1d0: 70 65 3d 22 6e 6f 72 6d 61 6c 22 2c 64 65 6c 65 pe="normal",dele
0002a1e0: 74 65 20 74 2e 61 72 67 2c 65 2e 63 6f 6d 70 6c te t.arg,e.compl
0002a1f0: 65 74 69 6f 6e 3d 74 7d 66 75 6e 63 74 69 6f 6e etion=t}function
0002a200: 20 78 28 65 29 7b 74 68 69 73 2e 74 72 79 45 6e x(e){this.tryEn
0002a210: 74 72 69 65 73 3d 5b 7b 74 72 79 4c 6f 63 3a 22 tries=[{tryLoc:"
0002a220: 72 6f 6f 74 22 7d 5d 2c 65 2e 66 6f 72 45 61 63 root"}],e.forEac
0002a230: 68 28 77 2c 74 68 69 73 29 2c 74 68 69 73 2e 72 h(w,this),this.r
0002a240: 65 73 65 74 28 21 30 29 7d 66 75 6e 63 74 69 6f eset(!0)}functio
0002a250: 6e 20 41 28 65 29 7b 69 66 28 65 29 7b 76 61 72 n A(e){if(e){var
0002a260: 20 74 3d 65 5b 69 5d 3b 69 66 28 74 29 72 65 74 t=e[i];if(t)ret
0002a270: 75 72 6e 20 74 2e 63 61 6c 6c 28 65 29 3b 69 66 urn t.call(e);if
0002a280: 28 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 ("function"==typ
0002a290: 65 6f 66 20 65 2e 6e 65 78 74 29 72 65 74 75 72 eof e.next)retur
0002a2a0: 6e 20 65 3b 69 66 28 21 69 73 4e 61 4e 28 65 2e n e;if(!isNaN(e.
0002a2b0: 6c 65 6e 67 74 68 29 29 7b 76 61 72 20 72 3d 2d length)){var r=-
0002a2c0: 31 2c 6f 3d 66 75 6e 63 74 69 6f 6e 20 74 28 29 1,o=function t()
0002a2d0: 7b 66 6f 72 28 3b 2b 2b 72 3c 65 2e 6c 65 6e 67 {for(;++r<e.leng
0002a2e0: 74 68 3b 29 69 66 28 6e 2e 63 61 6c 6c 28 65 2c th;)if(n.call(e,
0002a2f0: 72 29 29 72 65 74 75 72 6e 20 74 2e 76 61 6c 75 r))return t.valu
0002a300: 65 3d 65 5b 72 5d 2c 74 2e 64 6f 6e 65 3d 21 31 e=e[r],t.done=!1
0002a310: 2c 74 3b 72 65 74 75 72 6e 20 74 2e 76 61 6c 75 ,t;return t.valu
0002a320: 65 3d 76 6f 69 64 20 30 2c 74 2e 64 6f 6e 65 3d e=void 0,t.done=
0002a330: 21 30 2c 74 7d 3b 72 65 74 75 72 6e 20 6f 2e 6e !0,t};return o.n
0002a340: 65 78 74 3d 6f 7d 7d 72 65 74 75 72 6e 7b 6e 65 ext=o}}return{ne
0002a350: 78 74 3a 43 7d 7d 66 75 6e 63 74 69 6f 6e 20 43 xt:C}}function C
0002a360: 28 29 7b 72 65 74 75 72 6e 7b 76 61 6c 75 65 3a (){return{value:
0002a370: 76 6f 69 64 20 30 2c 64 6f 6e 65 3a 21 30 7d 7d void 0,done:!0}}
0002a380: 72 65 74 75 72 6e 20 66 2e 70 72 6f 74 6f 74 79 return f.prototy
0002a390: 70 65 3d 6d 2c 72 28 76 2c 22 63 6f 6e 73 74 72 pe=m,r(v,"constr
0002a3a0: 75 63 74 6f 72 22 2c 7b 76 61 6c 75 65 3a 6d 2c uctor",{value:m,
0002a3b0: 63 6f 6e 66 69 67 75 72 61 62 6c 65 3a 21 30 7d configurable:!0}
0002a3c0: 29 2c 72 28 6d 2c 22 63 6f 6e 73 74 72 75 63 74 ),r(m,"construct
0002a3d0: 6f 72 22 2c 7b 76 61 6c 75 65 3a 66 2c 63 6f 6e or",{value:f,con
0002a3e0: 66 69 67 75 72 61 62 6c 65 3a 21 30 7d 29 2c 66 figurable:!0}),f
0002a3f0: 2e 64 69 73 70 6c 61 79 4e 61 6d 65 3d 6c 28 6d .displayName=l(m
0002a400: 2c 73 2c 22 47 65 6e 65 72 61 74 6f 72 46 75 6e ,s,"GeneratorFun
0002a410: 63 74 69 6f 6e 22 29 2c 65 2e 69 73 47 65 6e 65 ction"),e.isGene
0002a420: 72 61 74 6f 72 46 75 6e 63 74 69 6f 6e 3d 66 75 ratorFunction=fu
0002a430: 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d nction(e){var t=
0002a440: 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 "function"==type
0002a450: 6f 66 20 65 26 26 65 2e 63 6f 6e 73 74 72 75 63 of e&&e.construc
0002a460: 74 6f 72 3b 72 65 74 75 72 6e 21 21 74 26 26 28 tor;return!!t&&(
0002a470: 74 3d 3d 3d 66 7c 7c 22 47 65 6e 65 72 61 74 6f t===f||"Generato
0002a480: 72 46 75 6e 63 74 69 6f 6e 22 3d 3d 3d 28 74 2e rFunction"===(t.
0002a490: 64 69 73 70 6c 61 79 4e 61 6d 65 7c 7c 74 2e 6e displayName||t.n
0002a4a0: 61 6d 65 29 29 7d 2c 65 2e 6d 61 72 6b 3d 66 75 ame))},e.mark=fu
0002a4b0: 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e nction(e){return
0002a4c0: 20 4f 62 6a 65 63 74 2e 73 65 74 50 72 6f 74 6f Object.setProto
0002a4d0: 74 79 70 65 4f 66 3f 4f 62 6a 65 63 74 2e 73 65 typeOf?Object.se
0002a4e0: 74 50 72 6f 74 6f 74 79 70 65 4f 66 28 65 2c 6d tPrototypeOf(e,m
0002a4f0: 29 3a 28 65 2e 5f 5f 70 72 6f 74 6f 5f 5f 3d 6d ):(e.__proto__=m
0002a500: 2c 6c 28 65 2c 73 2c 22 47 65 6e 65 72 61 74 6f ,l(e,s,"Generato
0002a510: 72 46 75 6e 63 74 69 6f 6e 22 29 29 2c 65 2e 70 rFunction")),e.p
0002a520: 72 6f 74 6f 74 79 70 65 3d 4f 62 6a 65 63 74 2e rototype=Object.
0002a530: 63 72 65 61 74 65 28 76 29 2c 65 7d 2c 65 2e 61 create(v),e},e.a
0002a540: 77 72 61 70 3d 66 75 6e 63 74 69 6f 6e 28 65 29 wrap=function(e)
0002a550: 7b 72 65 74 75 72 6e 7b 5f 5f 61 77 61 69 74 3a {return{__await:
0002a560: 65 7d 7d 2c 79 28 45 2e 70 72 6f 74 6f 74 79 70 e}},y(E.prototyp
0002a570: 65 29 2c 6c 28 45 2e 70 72 6f 74 6f 74 79 70 65 e),l(E.prototype
0002a580: 2c 61 2c 28 66 75 6e 63 74 69 6f 6e 28 29 7b 72 ,a,(function(){r
0002a590: 65 74 75 72 6e 20 74 68 69 73 7d 29 29 2c 65 2e eturn this})),e.
0002a5a0: 41 73 79 6e 63 49 74 65 72 61 74 6f 72 3d 45 2c AsyncIterator=E,
0002a5b0: 65 2e 61 73 79 6e 63 3d 66 75 6e 63 74 69 6f 6e e.async=function
0002a5c0: 28 74 2c 6e 2c 72 2c 6f 2c 69 29 7b 76 6f 69 64 (t,n,r,o,i){void
0002a5d0: 20 30 3d 3d 3d 69 26 26 28 69 3d 50 72 6f 6d 69 0===i&&(i=Promi
0002a5e0: 73 65 29 3b 76 61 72 20 61 3d 6e 65 77 20 45 28 se);var a=new E(
0002a5f0: 63 28 74 2c 6e 2c 72 2c 6f 29 2c 69 29 3b 72 65 c(t,n,r,o),i);re
0002a600: 74 75 72 6e 20 65 2e 69 73 47 65 6e 65 72 61 74 turn e.isGenerat
0002a610: 6f 72 46 75 6e 63 74 69 6f 6e 28 6e 29 3f 61 3a orFunction(n)?a:
0002a620: 61 2e 6e 65 78 74 28 29 2e 74 68 65 6e 28 28 66 a.next().then((f
0002a630: 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 unction(e){retur
0002a640: 6e 20 65 2e 64 6f 6e 65 3f 65 2e 76 61 6c 75 65 n e.done?e.value
0002a650: 3a 61 2e 6e 65 78 74 28 29 7d 29 29 7d 2c 79 28 :a.next()}))},y(
0002a660: 76 29 2c 6c 28 76 2c 73 2c 22 47 65 6e 65 72 61 v),l(v,s,"Genera
0002a670: 74 6f 72 22 29 2c 6c 28 76 2c 69 2c 28 66 75 6e tor"),l(v,i,(fun
0002a680: 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 74 ction(){return t
0002a690: 68 69 73 7d 29 29 2c 6c 28 76 2c 22 74 6f 53 74 his})),l(v,"toSt
0002a6a0: 72 69 6e 67 22 2c 28 66 75 6e 63 74 69 6f 6e 28 ring",(function(
0002a6b0: 29 7b 72 65 74 75 72 6e 22 5b 6f 62 6a 65 63 74 ){return"[object
0002a6c0: 20 47 65 6e 65 72 61 74 6f 72 5d 22 7d 29 29 2c Generator]"})),
0002a6d0: 65 2e 6b 65 79 73 3d 66 75 6e 63 74 69 6f 6e 28 e.keys=function(
0002a6e0: 65 29 7b 76 61 72 20 74 3d 4f 62 6a 65 63 74 28 e){var t=Object(
0002a6f0: 65 29 2c 6e 3d 5b 5d 3b 66 6f 72 28 76 61 72 20 e),n=[];for(var
0002a700: 72 20 69 6e 20 74 29 6e 2e 70 75 73 68 28 72 29 r in t)n.push(r)
0002a710: 3b 72 65 74 75 72 6e 20 6e 2e 72 65 76 65 72 73 ;return n.revers
0002a720: 65 28 29 2c 66 75 6e 63 74 69 6f 6e 20 65 28 29 e(),function e()
0002a730: 7b 66 6f 72 28 3b 6e 2e 6c 65 6e 67 74 68 3b 29 {for(;n.length;)
0002a740: 7b 76 61 72 20 72 3d 6e 2e 70 6f 70 28 29 3b 69 {var r=n.pop();i
0002a750: 66 28 72 20 69 6e 20 74 29 72 65 74 75 72 6e 20 f(r in t)return
0002a760: 65 2e 76 61 6c 75 65 3d 72 2c 65 2e 64 6f 6e 65 e.value=r,e.done
0002a770: 3d 21 31 2c 65 7d 72 65 74 75 72 6e 20 65 2e 64 =!1,e}return e.d
0002a780: 6f 6e 65 3d 21 30 2c 65 7d 7d 2c 65 2e 76 61 6c one=!0,e}},e.val
0002a790: 75 65 73 3d 41 2c 78 2e 70 72 6f 74 6f 74 79 70 ues=A,x.prototyp
0002a7a0: 65 3d 7b 63 6f 6e 73 74 72 75 63 74 6f 72 3a 78 e={constructor:x
0002a7b0: 2c 72 65 73 65 74 3a 66 75 6e 63 74 69 6f 6e 28 ,reset:function(
0002a7c0: 65 29 7b 69 66 28 74 68 69 73 2e 70 72 65 76 3d e){if(this.prev=
0002a7d0: 30 2c 74 68 69 73 2e 6e 65 78 74 3d 30 2c 74 68 0,this.next=0,th
0002a7e0: 69 73 2e 73 65 6e 74 3d 74 68 69 73 2e 5f 73 65 is.sent=this._se
0002a7f0: 6e 74 3d 76 6f 69 64 20 30 2c 74 68 69 73 2e 64 nt=void 0,this.d
0002a800: 6f 6e 65 3d 21 31 2c 74 68 69 73 2e 64 65 6c 65 one=!1,this.dele
0002a810: 67 61 74 65 3d 6e 75 6c 6c 2c 74 68 69 73 2e 6d gate=null,this.m
0002a820: 65 74 68 6f 64 3d 22 6e 65 78 74 22 2c 74 68 69 ethod="next",thi
0002a830: 73 2e 61 72 67 3d 76 6f 69 64 20 30 2c 74 68 69 s.arg=void 0,thi
0002a840: 73 2e 74 72 79 45 6e 74 72 69 65 73 2e 66 6f 72 s.tryEntries.for
0002a850: 45 61 63 68 28 54 29 2c 21 65 29 66 6f 72 28 76 Each(T),!e)for(v
0002a860: 61 72 20 74 20 69 6e 20 74 68 69 73 29 22 74 22 ar t in this)"t"
0002a870: 3d 3d 3d 74 2e 63 68 61 72 41 74 28 30 29 26 26 ===t.charAt(0)&&
0002a880: 6e 2e 63 61 6c 6c 28 74 68 69 73 2c 74 29 26 26 n.call(this,t)&&
0002a890: 21 69 73 4e 61 4e 28 2b 74 2e 73 6c 69 63 65 28 !isNaN(+t.slice(
0002a8a0: 31 29 29 26 26 28 74 68 69 73 5b 74 5d 3d 76 6f 1))&&(this[t]=vo
0002a8b0: 69 64 20 30 29 7d 2c 73 74 6f 70 3a 66 75 6e 63 id 0)},stop:func
0002a8c0: 74 69 6f 6e 28 29 7b 74 68 69 73 2e 64 6f 6e 65 tion(){this.done
0002a8d0: 3d 21 30 3b 76 61 72 20 65 3d 74 68 69 73 2e 74 =!0;var e=this.t
0002a8e0: 72 79 45 6e 74 72 69 65 73 5b 30 5d 2e 63 6f 6d ryEntries[0].com
0002a8f0: 70 6c 65 74 69 6f 6e 3b 69 66 28 22 74 68 72 6f pletion;if("thro
0002a900: 77 22 3d 3d 3d 65 2e 74 79 70 65 29 74 68 72 6f w"===e.type)thro
0002a910: 77 20 65 2e 61 72 67 3b 72 65 74 75 72 6e 20 74 w e.arg;return t
0002a920: 68 69 73 2e 72 76 61 6c 7d 2c 64 69 73 70 61 74 his.rval},dispat
0002a930: 63 68 45 78 63 65 70 74 69 6f 6e 3a 66 75 6e 63 chException:func
0002a940: 74 69 6f 6e 28 65 29 7b 69 66 28 74 68 69 73 2e tion(e){if(this.
0002a950: 64 6f 6e 65 29 74 68 72 6f 77 20 65 3b 76 61 72 done)throw e;var
0002a960: 20 74 3d 74 68 69 73 3b 66 75 6e 63 74 69 6f 6e t=this;function
0002a970: 20 72 28 6e 2c 72 29 7b 72 65 74 75 72 6e 20 61 r(n,r){return a
0002a980: 2e 74 79 70 65 3d 22 74 68 72 6f 77 22 2c 61 2e .type="throw",a.
0002a990: 61 72 67 3d 65 2c 74 2e 6e 65 78 74 3d 6e 2c 72 arg=e,t.next=n,r
0002a9a0: 26 26 28 74 2e 6d 65 74 68 6f 64 3d 22 6e 65 78 &&(t.method="nex
0002a9b0: 74 22 2c 74 2e 61 72 67 3d 76 6f 69 64 20 30 29 t",t.arg=void 0)
0002a9c0: 2c 21 21 72 7d 66 6f 72 28 76 61 72 20 6f 3d 74 ,!!r}for(var o=t
0002a9d0: 68 69 73 2e 74 72 79 45 6e 74 72 69 65 73 2e 6c his.tryEntries.l
0002a9e0: 65 6e 67 74 68 2d 31 3b 6f 3e 3d 30 3b 2d 2d 6f ength-1;o>=0;--o
0002a9f0: 29 7b 76 61 72 20 69 3d 74 68 69 73 2e 74 72 79 ){var i=this.try
0002aa00: 45 6e 74 72 69 65 73 5b 6f 5d 2c 61 3d 69 2e 63 Entries[o],a=i.c
0002aa10: 6f 6d 70 6c 65 74 69 6f 6e 3b 69 66 28 22 72 6f ompletion;if("ro
0002aa20: 6f 74 22 3d 3d 3d 69 2e 74 72 79 4c 6f 63 29 72 ot"===i.tryLoc)r
0002aa30: 65 74 75 72 6e 20 72 28 22 65 6e 64 22 29 3b 69 eturn r("end");i
0002aa40: 66 28 69 2e 74 72 79 4c 6f 63 3c 3d 74 68 69 73 f(i.tryLoc<=this
0002aa50: 2e 70 72 65 76 29 7b 76 61 72 20 73 3d 6e 2e 63 .prev){var s=n.c
0002aa60: 61 6c 6c 28 69 2c 22 63 61 74 63 68 4c 6f 63 22 all(i,"catchLoc"
0002aa70: 29 2c 6c 3d 6e 2e 63 61 6c 6c 28 69 2c 22 66 69 ),l=n.call(i,"fi
0002aa80: 6e 61 6c 6c 79 4c 6f 63 22 29 3b 69 66 28 73 26 nallyLoc");if(s&
0002aa90: 26 6c 29 7b 69 66 28 74 68 69 73 2e 70 72 65 76 &l){if(this.prev
0002aaa0: 3c 69 2e 63 61 74 63 68 4c 6f 63 29 72 65 74 75 <i.catchLoc)retu
0002aab0: 72 6e 20 72 28 69 2e 63 61 74 63 68 4c 6f 63 2c rn r(i.catchLoc,
0002aac0: 21 30 29 3b 69 66 28 74 68 69 73 2e 70 72 65 76 !0);if(this.prev
0002aad0: 3c 69 2e 66 69 6e 61 6c 6c 79 4c 6f 63 29 72 65 <i.finallyLoc)re
0002aae0: 74 75 72 6e 20 72 28 69 2e 66 69 6e 61 6c 6c 79 turn r(i.finally
0002aaf0: 4c 6f 63 29 7d 65 6c 73 65 20 69 66 28 73 29 7b Loc)}else if(s){
0002ab00: 69 66 28 74 68 69 73 2e 70 72 65 76 3c 69 2e 63 if(this.prev<i.c
0002ab10: 61 74 63 68 4c 6f 63 29 72 65 74 75 72 6e 20 72 atchLoc)return r
0002ab20: 28 69 2e 63 61 74 63 68 4c 6f 63 2c 21 30 29 7d (i.catchLoc,!0)}
0002ab30: 65 6c 73 65 7b 69 66 28 21 6c 29 74 68 72 6f 77 else{if(!l)throw
0002ab40: 20 6e 65 77 20 45 72 72 6f 72 28 22 74 72 79 20 new Error("try
0002ab50: 73 74 61 74 65 6d 65 6e 74 20 77 69 74 68 6f 75 statement withou
0002ab60: 74 20 63 61 74 63 68 20 6f 72 20 66 69 6e 61 6c t catch or final
0002ab70: 6c 79 22 29 3b 69 66 28 74 68 69 73 2e 70 72 65 ly");if(this.pre
0002ab80: 76 3c 69 2e 66 69 6e 61 6c 6c 79 4c 6f 63 29 72 v<i.finallyLoc)r
0002ab90: 65 74 75 72 6e 20 72 28 69 2e 66 69 6e 61 6c 6c eturn r(i.finall
0002aba0: 79 4c 6f 63 29 7d 7d 7d 7d 2c 61 62 72 75 70 74 yLoc)}}}},abrupt
0002abb0: 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 66 :function(e,t){f
0002abc0: 6f 72 28 76 61 72 20 72 3d 74 68 69 73 2e 74 72 or(var r=this.tr
0002abd0: 79 45 6e 74 72 69 65 73 2e 6c 65 6e 67 74 68 2d yEntries.length-
0002abe0: 31 3b 72 3e 3d 30 3b 2d 2d 72 29 7b 76 61 72 20 1;r>=0;--r){var
0002abf0: 6f 3d 74 68 69 73 2e 74 72 79 45 6e 74 72 69 65 o=this.tryEntrie
0002ac00: 73 5b 72 5d 3b 69 66 28 6f 2e 74 72 79 4c 6f 63 s[r];if(o.tryLoc
0002ac10: 3c 3d 74 68 69 73 2e 70 72 65 76 26 26 6e 2e 63 <=this.prev&&n.c
0002ac20: 61 6c 6c 28 6f 2c 22 66 69 6e 61 6c 6c 79 4c 6f all(o,"finallyLo
0002ac30: 63 22 29 26 26 74 68 69 73 2e 70 72 65 76 3c 6f c")&&this.prev<o
0002ac40: 2e 66 69 6e 61 6c 6c 79 4c 6f 63 29 7b 76 61 72 .finallyLoc){var
0002ac50: 20 69 3d 6f 3b 62 72 65 61 6b 7d 7d 69 26 26 28 i=o;break}}i&&(
0002ac60: 22 62 72 65 61 6b 22 3d 3d 3d 65 7c 7c 22 63 6f "break"===e||"co
0002ac70: 6e 74 69 6e 75 65 22 3d 3d 3d 65 29 26 26 69 2e ntinue"===e)&&i.
0002ac80: 74 72 79 4c 6f 63 3c 3d 74 26 26 74 3c 3d 69 2e tryLoc<=t&&t<=i.
0002ac90: 66 69 6e 61 6c 6c 79 4c 6f 63 26 26 28 69 3d 6e finallyLoc&&(i=n
0002aca0: 75 6c 6c 29 3b 76 61 72 20 61 3d 69 3f 69 2e 63 ull);var a=i?i.c
0002acb0: 6f 6d 70 6c 65 74 69 6f 6e 3a 7b 7d 3b 72 65 74 ompletion:{};ret
0002acc0: 75 72 6e 20 61 2e 74 79 70 65 3d 65 2c 61 2e 61 urn a.type=e,a.a
0002acd0: 72 67 3d 74 2c 69 3f 28 74 68 69 73 2e 6d 65 74 rg=t,i?(this.met
0002ace0: 68 6f 64 3d 22 6e 65 78 74 22 2c 74 68 69 73 2e hod="next",this.
0002acf0: 6e 65 78 74 3d 69 2e 66 69 6e 61 6c 6c 79 4c 6f next=i.finallyLo
0002ad00: 63 2c 64 29 3a 74 68 69 73 2e 63 6f 6d 70 6c 65 c,d):this.comple
0002ad10: 74 65 28 61 29 7d 2c 63 6f 6d 70 6c 65 74 65 3a te(a)},complete:
0002ad20: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 69 66 function(e,t){if
0002ad30: 28 22 74 68 72 6f 77 22 3d 3d 3d 65 2e 74 79 70 ("throw"===e.typ
0002ad40: 65 29 74 68 72 6f 77 20 65 2e 61 72 67 3b 72 65 e)throw e.arg;re
0002ad50: 74 75 72 6e 22 62 72 65 61 6b 22 3d 3d 3d 65 2e turn"break"===e.
0002ad60: 74 79 70 65 7c 7c 22 63 6f 6e 74 69 6e 75 65 22 type||"continue"
0002ad70: 3d 3d 3d 65 2e 74 79 70 65 3f 74 68 69 73 2e 6e ===e.type?this.n
0002ad80: 65 78 74 3d 65 2e 61 72 67 3a 22 72 65 74 75 72 ext=e.arg:"retur
0002ad90: 6e 22 3d 3d 3d 65 2e 74 79 70 65 3f 28 74 68 69 n"===e.type?(thi
0002ada0: 73 2e 72 76 61 6c 3d 74 68 69 73 2e 61 72 67 3d s.rval=this.arg=
0002adb0: 65 2e 61 72 67 2c 74 68 69 73 2e 6d 65 74 68 6f e.arg,this.metho
0002adc0: 64 3d 22 72 65 74 75 72 6e 22 2c 74 68 69 73 2e d="return",this.
0002add0: 6e 65 78 74 3d 22 65 6e 64 22 29 3a 22 6e 6f 72 next="end"):"nor
0002ade0: 6d 61 6c 22 3d 3d 3d 65 2e 74 79 70 65 26 26 74 mal"===e.type&&t
0002adf0: 26 26 28 74 68 69 73 2e 6e 65 78 74 3d 74 29 2c &&(this.next=t),
0002ae00: 64 7d 2c 66 69 6e 69 73 68 3a 66 75 6e 63 74 69 d},finish:functi
0002ae10: 6f 6e 28 65 29 7b 66 6f 72 28 76 61 72 20 74 3d on(e){for(var t=
0002ae20: 74 68 69 73 2e 74 72 79 45 6e 74 72 69 65 73 2e this.tryEntries.
0002ae30: 6c 65 6e 67 74 68 2d 31 3b 74 3e 3d 30 3b 2d 2d length-1;t>=0;--
0002ae40: 74 29 7b 76 61 72 20 6e 3d 74 68 69 73 2e 74 72 t){var n=this.tr
0002ae50: 79 45 6e 74 72 69 65 73 5b 74 5d 3b 69 66 28 6e yEntries[t];if(n
0002ae60: 2e 66 69 6e 61 6c 6c 79 4c 6f 63 3d 3d 3d 65 29 .finallyLoc===e)
0002ae70: 72 65 74 75 72 6e 20 74 68 69 73 2e 63 6f 6d 70 return this.comp
0002ae80: 6c 65 74 65 28 6e 2e 63 6f 6d 70 6c 65 74 69 6f lete(n.completio
0002ae90: 6e 2c 6e 2e 61 66 74 65 72 4c 6f 63 29 2c 54 28 n,n.afterLoc),T(
0002aea0: 6e 29 2c 64 7d 7d 2c 63 61 74 63 68 3a 66 75 6e n),d}},catch:fun
0002aeb0: 63 74 69 6f 6e 28 65 29 7b 66 6f 72 28 76 61 72 ction(e){for(var
0002aec0: 20 74 3d 74 68 69 73 2e 74 72 79 45 6e 74 72 69 t=this.tryEntri
0002aed0: 65 73 2e 6c 65 6e 67 74 68 2d 31 3b 74 3e 3d 30 es.length-1;t>=0
0002aee0: 3b 2d 2d 74 29 7b 76 61 72 20 6e 3d 74 68 69 73 ;--t){var n=this
0002aef0: 2e 74 72 79 45 6e 74 72 69 65 73 5b 74 5d 3b 69 .tryEntries[t];i
0002af00: 66 28 6e 2e 74 72 79 4c 6f 63 3d 3d 3d 65 29 7b f(n.tryLoc===e){
0002af10: 76 61 72 20 72 3d 6e 2e 63 6f 6d 70 6c 65 74 69 var r=n.completi
0002af20: 6f 6e 3b 69 66 28 22 74 68 72 6f 77 22 3d 3d 3d on;if("throw"===
0002af30: 72 2e 74 79 70 65 29 7b 76 61 72 20 6f 3d 72 2e r.type){var o=r.
0002af40: 61 72 67 3b 54 28 6e 29 7d 72 65 74 75 72 6e 20 arg;T(n)}return
0002af50: 6f 7d 7d 74 68 72 6f 77 20 6e 65 77 20 45 72 72 o}}throw new Err
0002af60: 6f 72 28 22 69 6c 6c 65 67 61 6c 20 63 61 74 63 or("illegal catc
0002af70: 68 20 61 74 74 65 6d 70 74 22 29 7d 2c 64 65 6c h attempt")},del
0002af80: 65 67 61 74 65 59 69 65 6c 64 3a 66 75 6e 63 74 egateYield:funct
0002af90: 69 6f 6e 28 65 2c 74 2c 6e 29 7b 72 65 74 75 72 ion(e,t,n){retur
0002afa0: 6e 20 74 68 69 73 2e 64 65 6c 65 67 61 74 65 3d n this.delegate=
0002afb0: 7b 69 74 65 72 61 74 6f 72 3a 41 28 65 29 2c 72 {iterator:A(e),r
0002afc0: 65 73 75 6c 74 4e 61 6d 65 3a 74 2c 6e 65 78 74 esultName:t,next
0002afd0: 4c 6f 63 3a 6e 7d 2c 22 6e 65 78 74 22 3d 3d 3d Loc:n},"next"===
0002afe0: 74 68 69 73 2e 6d 65 74 68 6f 64 26 26 28 74 68 this.method&&(th
0002aff0: 69 73 2e 61 72 67 3d 76 6f 69 64 20 30 29 2c 64 is.arg=void 0),d
0002b000: 7d 7d 2c 65 7d 66 75 6e 63 74 69 6f 6e 20 48 65 }},e}function He
0002b010: 28 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 2c 61 29 7b (e,t,n,r,o,i,a){
0002b020: 74 72 79 7b 76 61 72 20 73 3d 65 5b 69 5d 28 61 try{var s=e[i](a
0002b030: 29 2c 6c 3d 73 2e 76 61 6c 75 65 7d 63 61 74 63 ),l=s.value}catc
0002b040: 68 28 65 29 7b 72 65 74 75 72 6e 20 76 6f 69 64 h(e){return void
0002b050: 20 6e 28 65 29 7d 73 2e 64 6f 6e 65 3f 74 28 6c n(e)}s.done?t(l
0002b060: 29 3a 50 72 6f 6d 69 73 65 2e 72 65 73 6f 6c 76 ):Promise.resolv
0002b070: 65 28 6c 29 2e 74 68 65 6e 28 72 2c 6f 29 7d 66 e(l).then(r,o)}f
0002b080: 75 6e 63 74 69 6f 6e 20 56 65 28 65 2c 74 29 7b unction Ve(e,t){
0002b090: 72 65 74 75 72 6e 20 66 75 6e 63 74 69 6f 6e 28 return function(
0002b0a0: 65 29 7b 69 66 28 41 72 72 61 79 2e 69 73 41 72 e){if(Array.isAr
0002b0b0: 72 61 79 28 65 29 29 72 65 74 75 72 6e 20 65 7d ray(e))return e}
0002b0c0: 28 65 29 7c 7c 66 75 6e 63 74 69 6f 6e 28 65 2c (e)||function(e,
0002b0d0: 74 29 7b 76 61 72 20 6e 3d 6e 75 6c 6c 3d 3d 65 t){var n=null==e
0002b0e0: 3f 6e 75 6c 6c 3a 22 75 6e 64 65 66 69 6e 65 64 ?null:"undefined
0002b0f0: 22 21 3d 74 79 70 65 6f 66 20 53 79 6d 62 6f 6c "!=typeof Symbol
0002b100: 26 26 65 5b 53 79 6d 62 6f 6c 2e 69 74 65 72 61 &&e[Symbol.itera
0002b110: 74 6f 72 5d 7c 7c 65 5b 22 40 40 69 74 65 72 61 tor]||e["@@itera
0002b120: 74 6f 72 22 5d 3b 69 66 28 6e 75 6c 6c 21 3d 6e tor"];if(null!=n
0002b130: 29 7b 76 61 72 20 72 2c 6f 2c 69 2c 61 2c 73 3d ){var r,o,i,a,s=
0002b140: 5b 5d 2c 6c 3d 21 30 2c 63 3d 21 31 3b 74 72 79 [],l=!0,c=!1;try
0002b150: 7b 69 66 28 69 3d 28 6e 3d 6e 2e 63 61 6c 6c 28 {if(i=(n=n.call(
0002b160: 65 29 29 2e 6e 65 78 74 2c 30 3d 3d 3d 74 29 7b e)).next,0===t){
0002b170: 69 66 28 4f 62 6a 65 63 74 28 6e 29 21 3d 3d 6e if(Object(n)!==n
0002b180: 29 72 65 74 75 72 6e 3b 6c 3d 21 31 7d 65 6c 73 )return;l=!1}els
0002b190: 65 20 66 6f 72 28 3b 21 28 6c 3d 28 72 3d 69 2e e for(;!(l=(r=i.
0002b1a0: 63 61 6c 6c 28 6e 29 29 2e 64 6f 6e 65 29 26 26 call(n)).done)&&
0002b1b0: 28 73 2e 70 75 73 68 28 72 2e 76 61 6c 75 65 29 (s.push(r.value)
0002b1c0: 2c 73 2e 6c 65 6e 67 74 68 21 3d 3d 74 29 3b 6c ,s.length!==t);l
0002b1d0: 3d 21 30 29 3b 7d 63 61 74 63 68 28 65 29 7b 63 =!0);}catch(e){c
0002b1e0: 3d 21 30 2c 6f 3d 65 7d 66 69 6e 61 6c 6c 79 7b =!0,o=e}finally{
0002b1f0: 74 72 79 7b 69 66 28 21 6c 26 26 6e 75 6c 6c 21 try{if(!l&&null!
0002b200: 3d 6e 2e 72 65 74 75 72 6e 26 26 28 61 3d 6e 2e =n.return&&(a=n.
0002b210: 72 65 74 75 72 6e 28 29 2c 4f 62 6a 65 63 74 28 return(),Object(
0002b220: 61 29 21 3d 3d 61 29 29 72 65 74 75 72 6e 7d 66 a)!==a))return}f
0002b230: 69 6e 61 6c 6c 79 7b 69 66 28 63 29 74 68 72 6f inally{if(c)thro
0002b240: 77 20 6f 7d 7d 72 65 74 75 72 6e 20 73 7d 7d 28 w o}}return s}}(
0002b250: 65 2c 74 29 7c 7c 66 75 6e 63 74 69 6f 6e 28 65 e,t)||function(e
0002b260: 2c 74 29 7b 69 66 28 21 65 29 72 65 74 75 72 6e ,t){if(!e)return
0002b270: 3b 69 66 28 22 73 74 72 69 6e 67 22 3d 3d 74 79 ;if("string"==ty
0002b280: 70 65 6f 66 20 65 29 72 65 74 75 72 6e 20 71 65 peof e)return qe
0002b290: 28 65 2c 74 29 3b 76 61 72 20 6e 3d 4f 62 6a 65 (e,t);var n=Obje
0002b2a0: 63 74 2e 70 72 6f 74 6f 74 79 70 65 2e 74 6f 53 ct.prototype.toS
0002b2b0: 74 72 69 6e 67 2e 63 61 6c 6c 28 65 29 2e 73 6c tring.call(e).sl
0002b2c0: 69 63 65 28 38 2c 2d 31 29 3b 22 4f 62 6a 65 63 ice(8,-1);"Objec
0002b2d0: 74 22 3d 3d 3d 6e 26 26 65 2e 63 6f 6e 73 74 72 t"===n&&e.constr
0002b2e0: 75 63 74 6f 72 26 26 28 6e 3d 65 2e 63 6f 6e 73 uctor&&(n=e.cons
0002b2f0: 74 72 75 63 74 6f 72 2e 6e 61 6d 65 29 3b 69 66 tructor.name);if
0002b300: 28 22 4d 61 70 22 3d 3d 3d 6e 7c 7c 22 53 65 74 ("Map"===n||"Set
0002b310: 22 3d 3d 3d 6e 29 72 65 74 75 72 6e 20 41 72 72 "===n)return Arr
0002b320: 61 79 2e 66 72 6f 6d 28 65 29 3b 69 66 28 22 41 ay.from(e);if("A
0002b330: 72 67 75 6d 65 6e 74 73 22 3d 3d 3d 6e 7c 7c 2f rguments"===n||/
0002b340: 5e 28 3f 3a 55 69 7c 49 29 6e 74 28 3f 3a 38 7c ^(?:Ui|I)nt(?:8|
0002b350: 31 36 7c 33 32 29 28 3f 3a 43 6c 61 6d 70 65 64 16|32)(?:Clamped
0002b360: 29 3f 41 72 72 61 79 24 2f 2e 74 65 73 74 28 6e )?Array$/.test(n
0002b370: 29 29 72 65 74 75 72 6e 20 71 65 28 65 2c 74 29 ))return qe(e,t)
0002b380: 7d 28 65 2c 74 29 7c 7c 66 75 6e 63 74 69 6f 6e }(e,t)||function
0002b390: 28 29 7b 74 68 72 6f 77 20 6e 65 77 20 54 79 70 (){throw new Typ
0002b3a0: 65 45 72 72 6f 72 28 22 49 6e 76 61 6c 69 64 20 eError("Invalid
0002b3b0: 61 74 74 65 6d 70 74 20 74 6f 20 64 65 73 74 72 attempt to destr
0002b3c0: 75 63 74 75 72 65 20 6e 6f 6e 2d 69 74 65 72 61 ucture non-itera
0002b3d0: 62 6c 65 20 69 6e 73 74 61 6e 63 65 2e 5c 6e 49 ble instance.\nI
0002b3e0: 6e 20 6f 72 64 65 72 20 74 6f 20 62 65 20 69 74 n order to be it
0002b3f0: 65 72 61 62 6c 65 2c 20 6e 6f 6e 2d 61 72 72 61 erable, non-arra
0002b400: 79 20 6f 62 6a 65 63 74 73 20 6d 75 73 74 20 68 y objects must h
0002b410: 61 76 65 20 61 20 5b 53 79 6d 62 6f 6c 2e 69 74 ave a [Symbol.it
0002b420: 65 72 61 74 6f 72 5d 28 29 20 6d 65 74 68 6f 64 erator]() method
0002b430: 2e 22 29 7d 28 29 7d 66 75 6e 63 74 69 6f 6e 20 .")}()}function
0002b440: 71 65 28 65 2c 74 29 7b 28 6e 75 6c 6c 3d 3d 74 qe(e,t){(null==t
0002b450: 7c 7c 74 3e 65 2e 6c 65 6e 67 74 68 29 26 26 28 ||t>e.length)&&(
0002b460: 74 3d 65 2e 6c 65 6e 67 74 68 29 3b 66 6f 72 28 t=e.length);for(
0002b470: 76 61 72 20 6e 3d 30 2c 72 3d 6e 65 77 20 41 72 var n=0,r=new Ar
0002b480: 72 61 79 28 74 29 3b 6e 3c 74 3b 6e 2b 2b 29 72 ray(t);n<t;n++)r
0002b490: 5b 6e 5d 3d 65 5b 6e 5d 3b 72 65 74 75 72 6e 20 [n]=e[n];return
0002b4a0: 72 7d 76 61 72 20 57 65 3d 22 6e 65 61 72 53 6f r}var We="nearSo
0002b4b0: 63 69 61 6c 56 4d 72 65 64 69 72 65 63 74 4d 61 cialVMredirectMa
0002b4c0: 70 22 3b 66 75 6e 63 74 69 6f 6e 20 59 65 28 65 p";function Ye(e
0002b4d0: 29 7b 76 61 72 20 74 2c 6e 3d 65 2e 77 69 64 67 ){var t,n=e.widg
0002b4e0: 65 74 53 72 63 2c 6f 3d 65 2e 63 6f 64 65 2c 69 etSrc,o=e.code,i
0002b4f0: 3d 56 65 28 28 30 2c 72 2e 75 73 65 53 74 61 74 =Ve((0,r.useStat
0002b500: 65 29 28 7b 7d 29 2c 32 29 2c 61 3d 69 5b 30 5d e)({}),2),a=i[0]
0002b510: 2c 73 3d 69 5b 31 5d 2c 6c 3d 41 65 28 29 3b 28 ,s=i[1],l=Ae();(
0002b520: 30 2c 72 2e 75 73 65 45 66 66 65 63 74 29 28 28 0,r.useEffect)((
0002b530: 66 75 6e 63 74 69 6f 6e 28 29 7b 76 61 72 20 65 function(){var e
0002b540: 3d 6e 65 77 20 55 52 4c 53 65 61 72 63 68 50 61 =new URLSearchPa
0002b550: 72 61 6d 73 28 6c 2e 73 65 61 72 63 68 29 3b 73 rams(l.search);s
0002b560: 28 41 72 72 61 79 2e 66 72 6f 6d 28 65 2e 65 6e (Array.from(e.en
0002b570: 74 72 69 65 73 28 29 29 2e 72 65 64 75 63 65 28 tries()).reduce(
0002b580: 28 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 76 (function(e,t){v
0002b590: 61 72 20 6e 3d 56 65 28 74 2c 32 29 2c 72 3d 6e ar n=Ve(t,2),r=n
0002b5a0: 5b 30 5d 2c 6f 3d 6e 5b 31 5d 3b 72 65 74 75 72 [0],o=n[1];retur
0002b5b0: 6e 20 65 5b 72 5d 3d 6f 2c 65 7d 29 2c 7b 7d 29 n e[r]=o,e}),{})
0002b5c0: 29 7d 29 2c 5b 6c 5d 29 2c 6f 7c 7c 28 74 3d 28 )}),[l]),o||(t=(
0002b5d0: 74 3d 6e 7c 7c 6c 2e 70 61 74 68 6e 61 6d 65 29 t=n||l.pathname)
0002b5e0: 3f 74 2e 73 75 62 73 74 72 69 6e 67 28 74 2e 6c ?t.substring(t.l
0002b5f0: 61 73 74 49 6e 64 65 78 4f 66 28 22 2f 22 2c 74 astIndexOf("/",t
0002b600: 2e 69 6e 64 65 78 4f 66 28 22 2e 6e 65 61 72 22 .indexOf(".near"
0002b610: 29 29 2b 31 29 3a 22 64 65 76 68 75 62 2e 6e 65 ))+1):"devhub.ne
0002b620: 61 72 2f 77 69 64 67 65 74 2f 61 70 70 22 29 3b ar/widget/app");
0002b630: 76 61 72 20 63 3d 56 65 28 28 30 2c 72 2e 75 73 var c=Ve((0,r.us
0002b640: 65 53 74 61 74 65 29 28 6e 75 6c 6c 29 2c 32 29 eState)(null),2)
0002b650: 2c 75 3d 63 5b 30 5d 2c 64 3d 63 5b 31 5d 3b 72 ,u=c[0],d=c[1];r
0002b660: 65 74 75 72 6e 28 30 2c 72 2e 75 73 65 45 66 66 eturn(0,r.useEff
0002b670: 65 63 74 29 28 28 66 75 6e 63 74 69 6f 6e 28 29 ect)((function()
0002b680: 7b 76 61 72 20 65 3b 28 65 3d 47 65 28 29 2e 6d {var e;(e=Ge().m
0002b690: 61 72 6b 28 28 66 75 6e 63 74 69 6f 6e 20 65 28 ark((function e(
0002b6a0: 29 7b 76 61 72 20 74 3b 72 65 74 75 72 6e 20 47 ){var t;return G
0002b6b0: 65 28 29 2e 77 72 61 70 28 28 66 75 6e 63 74 69 e().wrap((functi
0002b6c0: 6f 6e 28 65 29 7b 66 6f 72 28 3b 3b 29 73 77 69 on(e){for(;;)swi
0002b6d0: 74 63 68 28 65 2e 70 72 65 76 3d 65 2e 6e 65 78 tch(e.prev=e.nex
0002b6e0: 74 29 7b 63 61 73 65 20 30 3a 69 66 28 6e 75 6c t){case 0:if(nul
0002b6f0: 6c 3d 3d 28 74 3d 4a 53 4f 4e 2e 70 61 72 73 65 l==(t=JSON.parse
0002b700: 28 6c 6f 63 61 6c 53 74 6f 72 61 67 65 2e 67 65 (localStorage.ge
0002b710: 74 49 74 65 6d 28 22 66 6c 61 67 73 22 29 29 29 tItem("flags")))
0002b720: 7c 7c 21 74 2e 62 6f 73 4c 6f 61 64 65 72 55 72 ||!t.bosLoaderUr
0002b730: 6c 29 7b 65 2e 6e 65 78 74 3d 39 3b 62 72 65 61 l){e.next=9;brea
0002b740: 6b 7d 72 65 74 75 72 6e 20 65 2e 74 30 3d 64 2c k}return e.t0=d,
0002b750: 65 2e 6e 65 78 74 3d 35 2c 66 65 74 63 68 28 74 e.next=5,fetch(t
0002b760: 2e 62 6f 73 4c 6f 61 64 65 72 55 72 6c 29 2e 74 .bosLoaderUrl).t
0002b770: 68 65 6e 28 28 66 75 6e 63 74 69 6f 6e 28 65 29 hen((function(e)
0002b780: 7b 72 65 74 75 72 6e 20 65 2e 6a 73 6f 6e 28 29 {return e.json()
0002b790: 7d 29 29 3b 63 61 73 65 20 35 3a 65 2e 74 31 3d }));case 5:e.t1=
0002b7a0: 65 2e 73 65 6e 74 2e 63 6f 6d 70 6f 6e 65 6e 74 e.sent.component
0002b7b0: 73 2c 28 30 2c 65 2e 74 30 29 28 65 2e 74 31 29 s,(0,e.t0)(e.t1)
0002b7c0: 2c 65 2e 6e 65 78 74 3d 31 30 3b 62 72 65 61 6b ,e.next=10;break
0002b7d0: 3b 63 61 73 65 20 39 3a 64 28 4a 53 4f 4e 2e 70 ;case 9:d(JSON.p
0002b7e0: 61 72 73 65 28 73 65 73 73 69 6f 6e 53 74 6f 72 arse(sessionStor
0002b7f0: 61 67 65 2e 67 65 74 49 74 65 6d 28 57 65 29 29 age.getItem(We))
0002b800: 29 3b 63 61 73 65 20 31 30 3a 63 61 73 65 22 65 );case 10:case"e
0002b810: 6e 64 22 3a 72 65 74 75 72 6e 20 65 2e 73 74 6f nd":return e.sto
0002b820: 70 28 29 7d 7d 29 2c 65 29 7d 29 29 2c 66 75 6e p()}}),e)})),fun
0002b830: 63 74 69 6f 6e 28 29 7b 76 61 72 20 74 3d 74 68 ction(){var t=th
0002b840: 69 73 2c 6e 3d 61 72 67 75 6d 65 6e 74 73 3b 72 is,n=arguments;r
0002b850: 65 74 75 72 6e 20 6e 65 77 20 50 72 6f 6d 69 73 eturn new Promis
0002b860: 65 28 28 66 75 6e 63 74 69 6f 6e 28 72 2c 6f 29 e((function(r,o)
0002b870: 7b 76 61 72 20 69 3d 65 2e 61 70 70 6c 79 28 74 {var i=e.apply(t
0002b880: 2c 6e 29 3b 66 75 6e 63 74 69 6f 6e 20 61 28 65 ,n);function a(e
0002b890: 29 7b 48 65 28 69 2c 72 2c 6f 2c 61 2c 73 2c 22 ){He(i,r,o,a,s,"
0002b8a0: 6e 65 78 74 22 2c 65 29 7d 66 75 6e 63 74 69 6f next",e)}functio
0002b8b0: 6e 20 73 28 65 29 7b 48 65 28 69 2c 72 2c 6f 2c n s(e){He(i,r,o,
0002b8c0: 61 2c 73 2c 22 74 68 72 6f 77 22 2c 65 29 7d 61 a,s,"throw",e)}a
0002b8d0: 28 76 6f 69 64 20 30 29 7d 29 29 7d 29 28 29 7d (void 0)}))})()}
0002b8e0: 29 2c 5b 5d 29 2c 72 2e 63 72 65 61 74 65 45 6c ),[]),r.createEl
0002b8f0: 65 6d 65 6e 74 28 79 2e 57 69 64 67 65 74 2c 7b ement(y.Widget,{
0002b900: 73 72 63 3a 74 2c 63 6f 64 65 3a 6f 2c 70 72 6f src:t,code:o,pro
0002b910: 70 73 3a 61 2c 63 6f 6e 66 69 67 3a 7b 72 65 64 ps:a,config:{red
0002b920: 69 72 65 63 74 4d 61 70 3a 75 7d 7d 29 7d 76 61 irectMap:u}})}va
0002b930: 72 20 4b 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 r Ke=function(e)
0002b940: 7b 76 61 72 20 74 3d 28 30 2c 79 2e 75 73 65 49 {var t=(0,y.useI
0002b950: 6e 69 74 4e 65 61 72 29 28 29 2e 69 6e 69 74 4e nitNear)().initN
0002b960: 65 61 72 3b 72 65 74 75 72 6e 28 30 2c 79 2e 75 ear;return(0,y.u
0002b970: 73 65 41 63 63 6f 75 6e 74 29 28 29 2c 28 30 2c seAccount)(),(0,
0002b980: 72 2e 75 73 65 45 66 66 65 63 74 29 28 28 66 75 r.useEffect)((fu
0002b990: 6e 63 74 69 6f 6e 28 29 7b 74 26 26 74 28 7b 6e nction(){t&&t({n
0002b9a0: 65 74 77 6f 72 6b 49 64 3a 22 6d 61 69 6e 6e 65 etworkId:"mainne
0002b9b0: 74 22 2c 73 65 6c 65 63 74 6f 72 3a 65 2e 73 65 t",selector:e.se
0002b9c0: 6c 65 63 74 6f 72 50 72 6f 6d 69 73 65 2c 63 75 lectorPromise,cu
0002b9d0: 73 74 6f 6d 45 6c 65 6d 65 6e 74 73 3a 7b 4c 69 stomElements:{Li
0002b9e0: 6e 6b 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 nk:function(e){r
0002b9f0: 65 74 75 72 6e 21 65 2e 74 6f 26 26 65 2e 68 72 eturn!e.to&&e.hr
0002ba00: 65 66 26 26 28 65 2e 74 6f 3d 65 2e 68 72 65 66 ef&&(e.to=e.href
0002ba10: 2c 64 65 6c 65 74 65 20 65 2e 68 72 65 66 29 2c ,delete e.href),
0002ba20: 65 2e 74 6f 26 26 28 65 2e 74 6f 3d 28 30 2c 6a e.to&&(e.to=(0,j
0002ba30: 65 2e 4e 29 28 65 2e 74 6f 29 29 2c 72 2e 63 72 e.N)(e.to)),r.cr
0002ba40: 65 61 74 65 45 6c 65 6d 65 6e 74 28 46 65 2c 65 eateElement(Fe,e
0002ba50: 29 7d 7d 2c 63 6f 6e 66 69 67 3a 7b 64 65 66 61 )}},config:{defa
0002ba60: 75 6c 74 46 69 6e 61 6c 69 74 79 3a 76 6f 69 64 ultFinality:void
0002ba70: 20 30 7d 7d 29 7d 29 2c 5b 74 5d 29 2c 72 2e 63 0}})}),[t]),r.c
0002ba80: 72 65 61 74 65 45 6c 65 6d 65 6e 74 28 4e 65 2c reateElement(Ne,
0002ba90: 6e 75 6c 6c 2c 72 2e 63 72 65 61 74 65 45 6c 65 null,r.createEle
0002baa0: 6d 65 6e 74 28 79 65 2c 6e 75 6c 6c 2c 72 2e 63 ment(ye,null,r.c
0002bab0: 72 65 61 74 65 45 6c 65 6d 65 6e 74 28 59 65 2c reateElement(Ye,
0002bac0: 7b 77 69 64 67 65 74 53 72 63 3a 65 2e 77 69 64 {widgetSrc:e.wid
0002bad0: 67 65 74 53 72 63 2c 63 6f 64 65 3a 65 2e 63 6f getSrc,code:e.co
0002bae0: 64 65 7d 29 29 29 7d 3b 66 75 6e 63 74 69 6f 6e de})))};function
0002baf0: 20 5a 65 28 65 29 7b 72 65 74 75 72 6e 20 5a 65 Ze(e){return Ze
0002bb00: 3d 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 ="function"==typ
0002bb10: 65 6f 66 20 53 79 6d 62 6f 6c 26 26 22 73 79 6d eof Symbol&&"sym
0002bb20: 62 6f 6c 22 3d 3d 74 79 70 65 6f 66 20 53 79 6d bol"==typeof Sym
0002bb30: 62 6f 6c 2e 69 74 65 72 61 74 6f 72 3f 66 75 6e bol.iterator?fun
0002bb40: 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 ction(e){return
0002bb50: 74 79 70 65 6f 66 20 65 7d 3a 66 75 6e 63 74 69 typeof e}:functi
0002bb60: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 65 26 26 on(e){return e&&
0002bb70: 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 "function"==type
0002bb80: 6f 66 20 53 79 6d 62 6f 6c 26 26 65 2e 63 6f 6e of Symbol&&e.con
0002bb90: 73 74 72 75 63 74 6f 72 3d 3d 3d 53 79 6d 62 6f structor===Symbo
0002bba0: 6c 26 26 65 21 3d 3d 53 79 6d 62 6f 6c 2e 70 72 l&&e!==Symbol.pr
0002bbb0: 6f 74 6f 74 79 70 65 3f 22 73 79 6d 62 6f 6c 22 ototype?"symbol"
0002bbc0: 3a 74 79 70 65 6f 66 20 65 7d 2c 5a 65 28 65 29 :typeof e},Ze(e)
0002bbd0: 7d 66 75 6e 63 74 69 6f 6e 20 58 65 28 65 2c 74 }function Xe(e,t
0002bbe0: 29 7b 66 6f 72 28 76 61 72 20 6e 3d 30 3b 6e 3c ){for(var n=0;n<
0002bbf0: 74 2e 6c 65 6e 67 74 68 3b 6e 2b 2b 29 7b 76 61 t.length;n++){va
0002bc00: 72 20 72 3d 74 5b 6e 5d 3b 72 2e 65 6e 75 6d 65 r r=t[n];r.enume
0002bc10: 72 61 62 6c 65 3d 72 2e 65 6e 75 6d 65 72 61 62 rable=r.enumerab
0002bc20: 6c 65 7c 7c 21 31 2c 72 2e 63 6f 6e 66 69 67 75 le||!1,r.configu
0002bc30: 72 61 62 6c 65 3d 21 30 2c 22 76 61 6c 75 65 22 rable=!0,"value"
0002bc40: 69 6e 20 72 26 26 28 72 2e 77 72 69 74 61 62 6c in r&&(r.writabl
0002bc50: 65 3d 21 30 29 2c 4f 62 6a 65 63 74 2e 64 65 66 e=!0),Object.def
0002bc60: 69 6e 65 50 72 6f 70 65 72 74 79 28 65 2c 51 65 ineProperty(e,Qe
0002bc70: 28 72 2e 6b 65 79 29 2c 72 29 7d 7d 66 75 6e 63 (r.key),r)}}func
0002bc80: 74 69 6f 6e 20 51 65 28 65 29 7b 76 61 72 20 74 tion Qe(e){var t
0002bc90: 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 69 =function(e,t){i
0002bca0: 66 28 22 6f 62 6a 65 63 74 22 21 3d 3d 5a 65 28 f("object"!==Ze(
0002bcb0: 65 29 7c 7c 6e 75 6c 6c 3d 3d 3d 65 29 72 65 74 e)||null===e)ret
0002bcc0: 75 72 6e 20 65 3b 76 61 72 20 6e 3d 65 5b 53 79 urn e;var n=e[Sy
0002bcd0: 6d 62 6f 6c 2e 74 6f 50 72 69 6d 69 74 69 76 65 mbol.toPrimitive
0002bce0: 5d 3b 69 66 28 76 6f 69 64 20 30 21 3d 3d 6e 29 ];if(void 0!==n)
0002bcf0: 7b 76 61 72 20 72 3d 6e 2e 63 61 6c 6c 28 65 2c {var r=n.call(e,
0002bd00: 74 7c 7c 22 64 65 66 61 75 6c 74 22 29 3b 69 66 t||"default");if
0002bd10: 28 22 6f 62 6a 65 63 74 22 21 3d 3d 5a 65 28 72 ("object"!==Ze(r
0002bd20: 29 29 72 65 74 75 72 6e 20 72 3b 74 68 72 6f 77 ))return r;throw
0002bd30: 20 6e 65 77 20 54 79 70 65 45 72 72 6f 72 28 22 new TypeError("
0002bd40: 40 40 74 6f 50 72 69 6d 69 74 69 76 65 20 6d 75 @@toPrimitive mu
0002bd50: 73 74 20 72 65 74 75 72 6e 20 61 20 70 72 69 6d st return a prim
0002bd60: 69 74 69 76 65 20 76 61 6c 75 65 2e 22 29 7d 72 itive value.")}r
0002bd70: 65 74 75 72 6e 28 22 73 74 72 69 6e 67 22 3d 3d eturn("string"==
0002bd80: 3d 74 3f 53 74 72 69 6e 67 3a 4e 75 6d 62 65 72 =t?String:Number
0002bd90: 29 28 65 29 7d 28 65 2c 22 73 74 72 69 6e 67 22 )(e)}(e,"string"
0002bda0: 29 3b 72 65 74 75 72 6e 22 73 79 6d 62 6f 6c 22 );return"symbol"
0002bdb0: 3d 3d 3d 5a 65 28 74 29 3f 74 3a 53 74 72 69 6e ===Ze(t)?t:Strin
0002bdc0: 67 28 74 29 7d 66 75 6e 63 74 69 6f 6e 20 4a 65 g(t)}function Je
0002bdd0: 28 65 29 7b 76 61 72 20 74 3d 74 74 28 29 3b 72 (e){var t=tt();r
0002bde0: 65 74 75 72 6e 20 66 75 6e 63 74 69 6f 6e 28 29 eturn function()
0002bdf0: 7b 76 61 72 20 6e 2c 72 3d 72 74 28 65 29 3b 69 {var n,r=rt(e);i
0002be00: 66 28 74 29 7b 76 61 72 20 6f 3d 72 74 28 74 68 f(t){var o=rt(th
0002be10: 69 73 29 2e 63 6f 6e 73 74 72 75 63 74 6f 72 3b is).constructor;
0002be20: 6e 3d 52 65 66 6c 65 63 74 2e 63 6f 6e 73 74 72 n=Reflect.constr
0002be30: 75 63 74 28 72 2c 61 72 67 75 6d 65 6e 74 73 2c uct(r,arguments,
0002be40: 6f 29 7d 65 6c 73 65 20 6e 3d 72 2e 61 70 70 6c o)}else n=r.appl
0002be50: 79 28 74 68 69 73 2c 61 72 67 75 6d 65 6e 74 73 y(this,arguments
0002be60: 29 3b 72 65 74 75 72 6e 20 66 75 6e 63 74 69 6f );return functio
0002be70: 6e 28 65 2c 74 29 7b 69 66 28 74 26 26 28 22 6f n(e,t){if(t&&("o
0002be80: 62 6a 65 63 74 22 3d 3d 3d 5a 65 28 74 29 7c 7c bject"===Ze(t)||
0002be90: 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 "function"==type
0002bea0: 6f 66 20 74 29 29 72 65 74 75 72 6e 20 74 3b 69 of t))return t;i
0002beb0: 66 28 76 6f 69 64 20 30 21 3d 3d 74 29 74 68 72 f(void 0!==t)thr
0002bec0: 6f 77 20 6e 65 77 20 54 79 70 65 45 72 72 6f 72 ow new TypeError
0002bed0: 28 22 44 65 72 69 76 65 64 20 63 6f 6e 73 74 72 ("Derived constr
0002bee0: 75 63 74 6f 72 73 20 6d 61 79 20 6f 6e 6c 79 20 uctors may only
0002bef0: 72 65 74 75 72 6e 20 6f 62 6a 65 63 74 20 6f 72 return object or
0002bf00: 20 75 6e 64 65 66 69 6e 65 64 22 29 3b 72 65 74 undefined");ret
0002bf10: 75 72 6e 20 66 75 6e 63 74 69 6f 6e 28 65 29 7b urn function(e){
0002bf20: 69 66 28 76 6f 69 64 20 30 3d 3d 3d 65 29 74 68 if(void 0===e)th
0002bf30: 72 6f 77 20 6e 65 77 20 52 65 66 65 72 65 6e 63 row new Referenc
0002bf40: 65 45 72 72 6f 72 28 22 74 68 69 73 20 68 61 73 eError("this has
0002bf50: 6e 27 74 20 62 65 65 6e 20 69 6e 69 74 69 61 6c n't been initial
0002bf60: 69 73 65 64 20 2d 20 73 75 70 65 72 28 29 20 68 ised - super() h
0002bf70: 61 73 6e 27 74 20 62 65 65 6e 20 63 61 6c 6c 65 asn't been calle
0002bf80: 64 22 29 3b 72 65 74 75 72 6e 20 65 7d 28 65 29 d");return e}(e)
0002bf90: 7d 28 74 68 69 73 2c 6e 29 7d 7d 66 75 6e 63 74 }(this,n)}}funct
0002bfa0: 69 6f 6e 20 24 65 28 65 29 7b 76 61 72 20 74 3d ion $e(e){var t=
0002bfb0: 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 "function"==type
0002bfc0: 6f 66 20 4d 61 70 3f 6e 65 77 20 4d 61 70 3a 76 of Map?new Map:v
0002bfd0: 6f 69 64 20 30 3b 72 65 74 75 72 6e 20 24 65 3d oid 0;return $e=
0002bfe0: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 6e function(e){if(n
0002bff0: 75 6c 6c 3d 3d 3d 65 7c 7c 28 6e 3d 65 2c 2d 31 ull===e||(n=e,-1
0002c000: 3d 3d 3d 46 75 6e 63 74 69 6f 6e 2e 74 6f 53 74 ===Function.toSt
0002c010: 72 69 6e 67 2e 63 61 6c 6c 28 6e 29 2e 69 6e 64 ring.call(n).ind
0002c020: 65 78 4f 66 28 22 5b 6e 61 74 69 76 65 20 63 6f exOf("[native co
0002c030: 64 65 5d 22 29 29 29 72 65 74 75 72 6e 20 65 3b de]")))return e;
0002c040: 76 61 72 20 6e 3b 69 66 28 22 66 75 6e 63 74 69 var n;if("functi
0002c050: 6f 6e 22 21 3d 74 79 70 65 6f 66 20 65 29 74 68 on"!=typeof e)th
0002c060: 72 6f 77 20 6e 65 77 20 54 79 70 65 45 72 72 6f row new TypeErro
0002c070: 72 28 22 53 75 70 65 72 20 65 78 70 72 65 73 73 r("Super express
0002c080: 69 6f 6e 20 6d 75 73 74 20 65 69 74 68 65 72 20 ion must either
0002c090: 62 65 20 6e 75 6c 6c 20 6f 72 20 61 20 66 75 6e be null or a fun
0002c0a0: 63 74 69 6f 6e 22 29 3b 69 66 28 76 6f 69 64 20 ction");if(void
0002c0b0: 30 21 3d 3d 74 29 7b 69 66 28 74 2e 68 61 73 28 0!==t){if(t.has(
0002c0c0: 65 29 29 72 65 74 75 72 6e 20 74 2e 67 65 74 28 e))return t.get(
0002c0d0: 65 29 3b 74 2e 73 65 74 28 65 2c 72 29 7d 66 75 e);t.set(e,r)}fu
0002c0e0: 6e 63 74 69 6f 6e 20 72 28 29 7b 72 65 74 75 72 nction r(){retur
0002c0f0: 6e 20 65 74 28 65 2c 61 72 67 75 6d 65 6e 74 73 n et(e,arguments
0002c100: 2c 72 74 28 74 68 69 73 29 2e 63 6f 6e 73 74 72 ,rt(this).constr
0002c110: 75 63 74 6f 72 29 7d 72 65 74 75 72 6e 20 72 2e uctor)}return r.
0002c120: 70 72 6f 74 6f 74 79 70 65 3d 4f 62 6a 65 63 74 prototype=Object
0002c130: 2e 63 72 65 61 74 65 28 65 2e 70 72 6f 74 6f 74 .create(e.protot
0002c140: 79 70 65 2c 7b 63 6f 6e 73 74 72 75 63 74 6f 72 ype,{constructor
0002c150: 3a 7b 76 61 6c 75 65 3a 72 2c 65 6e 75 6d 65 72 :{value:r,enumer
0002c160: 61 62 6c 65 3a 21 31 2c 77 72 69 74 61 62 6c 65 able:!1,writable
0002c170: 3a 21 30 2c 63 6f 6e 66 69 67 75 72 61 62 6c 65 :!0,configurable
0002c180: 3a 21 30 7d 7d 29 2c 6e 74 28 72 2c 65 29 7d 2c :!0}}),nt(r,e)},
0002c190: 24 65 28 65 29 7d 66 75 6e 63 74 69 6f 6e 20 65 $e(e)}function e
0002c1a0: 74 28 65 2c 74 2c 6e 29 7b 72 65 74 75 72 6e 20 t(e,t,n){return
0002c1b0: 65 74 3d 74 74 28 29 3f 52 65 66 6c 65 63 74 2e et=tt()?Reflect.
0002c1c0: 63 6f 6e 73 74 72 75 63 74 2e 62 69 6e 64 28 29 construct.bind()
0002c1d0: 3a 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 :function(e,t,n)
0002c1e0: 7b 76 61 72 20 72 3d 5b 6e 75 6c 6c 5d 3b 72 2e {var r=[null];r.
0002c1f0: 70 75 73 68 2e 61 70 70 6c 79 28 72 2c 74 29 3b push.apply(r,t);
0002c200: 76 61 72 20 6f 3d 6e 65 77 28 46 75 6e 63 74 69 var o=new(Functi
0002c210: 6f 6e 2e 62 69 6e 64 2e 61 70 70 6c 79 28 65 2c on.bind.apply(e,
0002c220: 72 29 29 3b 72 65 74 75 72 6e 20 6e 26 26 6e 74 r));return n&&nt
0002c230: 28 6f 2c 6e 2e 70 72 6f 74 6f 74 79 70 65 29 2c (o,n.prototype),
0002c240: 6f 7d 2c 65 74 2e 61 70 70 6c 79 28 6e 75 6c 6c o},et.apply(null
0002c250: 2c 61 72 67 75 6d 65 6e 74 73 29 7d 66 75 6e 63 ,arguments)}func
0002c260: 74 69 6f 6e 20 74 74 28 29 7b 69 66 28 22 75 6e tion tt(){if("un
0002c270: 64 65 66 69 6e 65 64 22 3d 3d 74 79 70 65 6f 66 defined"==typeof
0002c280: 20 52 65 66 6c 65 63 74 7c 7c 21 52 65 66 6c 65 Reflect||!Refle
0002c290: 63 74 2e 63 6f 6e 73 74 72 75 63 74 29 72 65 74 ct.construct)ret
0002c2a0: 75 72 6e 21 31 3b 69 66 28 52 65 66 6c 65 63 74 urn!1;if(Reflect
0002c2b0: 2e 63 6f 6e 73 74 72 75 63 74 2e 73 68 61 6d 29 .construct.sham)
0002c2c0: 72 65 74 75 72 6e 21 31 3b 69 66 28 22 66 75 6e return!1;if("fun
0002c2d0: 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 50 ction"==typeof P
0002c2e0: 72 6f 78 79 29 72 65 74 75 72 6e 21 30 3b 74 72 roxy)return!0;tr
0002c2f0: 79 7b 72 65 74 75 72 6e 20 42 6f 6f 6c 65 61 6e y{return Boolean
0002c300: 2e 70 72 6f 74 6f 74 79 70 65 2e 76 61 6c 75 65 .prototype.value
0002c310: 4f 66 2e 63 61 6c 6c 28 52 65 66 6c 65 63 74 2e Of.call(Reflect.
0002c320: 63 6f 6e 73 74 72 75 63 74 28 42 6f 6f 6c 65 61 construct(Boolea
0002c330: 6e 2c 5b 5d 2c 28 66 75 6e 63 74 69 6f 6e 28 29 n,[],(function()
0002c340: 7b 7d 29 29 29 2c 21 30 7d 63 61 74 63 68 28 65 {}))),!0}catch(e
0002c350: 29 7b 72 65 74 75 72 6e 21 31 7d 7d 66 75 6e 63 ){return!1}}func
0002c360: 74 69 6f 6e 20 6e 74 28 65 2c 74 29 7b 72 65 74 tion nt(e,t){ret
0002c370: 75 72 6e 20 6e 74 3d 4f 62 6a 65 63 74 2e 73 65 urn nt=Object.se
0002c380: 74 50 72 6f 74 6f 74 79 70 65 4f 66 3f 4f 62 6a tPrototypeOf?Obj
0002c390: 65 63 74 2e 73 65 74 50 72 6f 74 6f 74 79 70 65 ect.setPrototype
0002c3a0: 4f 66 2e 62 69 6e 64 28 29 3a 66 75 6e 63 74 69 Of.bind():functi
0002c3b0: 6f 6e 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 65 on(e,t){return e
0002c3c0: 2e 5f 5f 70 72 6f 74 6f 5f 5f 3d 74 2c 65 7d 2c .__proto__=t,e},
0002c3d0: 6e 74 28 65 2c 74 29 7d 66 75 6e 63 74 69 6f 6e nt(e,t)}function
0002c3e0: 20 72 74 28 65 29 7b 72 65 74 75 72 6e 20 72 74 rt(e){return rt
0002c3f0: 3d 4f 62 6a 65 63 74 2e 73 65 74 50 72 6f 74 6f =Object.setProto
0002c400: 74 79 70 65 4f 66 3f 4f 62 6a 65 63 74 2e 67 65 typeOf?Object.ge
0002c410: 74 50 72 6f 74 6f 74 79 70 65 4f 66 2e 62 69 6e tPrototypeOf.bin
0002c420: 64 28 29 3a 66 75 6e 63 74 69 6f 6e 28 65 29 7b d():function(e){
0002c430: 72 65 74 75 72 6e 20 65 2e 5f 5f 70 72 6f 74 6f return e.__proto
0002c440: 5f 5f 7c 7c 4f 62 6a 65 63 74 2e 67 65 74 50 72 __||Object.getPr
0002c450: 6f 74 6f 74 79 70 65 4f 66 28 65 29 7d 2c 72 74 ototypeOf(e)},rt
0002c460: 28 65 29 7d 76 61 72 20 6f 74 3d 66 75 6e 63 74 (e)}var ot=funct
0002c470: 69 6f 6e 28 65 29 7b 21 66 75 6e 63 74 69 6f 6e ion(e){!function
0002c480: 28 65 2c 74 29 7b 69 66 28 22 66 75 6e 63 74 69 (e,t){if("functi
0002c490: 6f 6e 22 21 3d 74 79 70 65 6f 66 20 74 26 26 6e on"!=typeof t&&n
0002c4a0: 75 6c 6c 21 3d 3d 74 29 74 68 72 6f 77 20 6e 65 ull!==t)throw ne
0002c4b0: 77 20 54 79 70 65 45 72 72 6f 72 28 22 53 75 70 w TypeError("Sup
0002c4c0: 65 72 20 65 78 70 72 65 73 73 69 6f 6e 20 6d 75 er expression mu
0002c4d0: 73 74 20 65 69 74 68 65 72 20 62 65 20 6e 75 6c st either be nul
0002c4e0: 6c 20 6f 72 20 61 20 66 75 6e 63 74 69 6f 6e 22 l or a function"
0002c4f0: 29 3b 65 2e 70 72 6f 74 6f 74 79 70 65 3d 4f 62 );e.prototype=Ob
0002c500: 6a 65 63 74 2e 63 72 65 61 74 65 28 74 26 26 74 ject.create(t&&t
0002c510: 2e 70 72 6f 74 6f 74 79 70 65 2c 7b 63 6f 6e 73 .prototype,{cons
0002c520: 74 72 75 63 74 6f 72 3a 7b 76 61 6c 75 65 3a 65 tructor:{value:e
0002c530: 2c 77 72 69 74 61 62 6c 65 3a 21 30 2c 63 6f 6e ,writable:!0,con
0002c540: 66 69 67 75 72 61 62 6c 65 3a 21 30 7d 7d 29 2c figurable:!0}}),
0002c550: 4f 62 6a 65 63 74 2e 64 65 66 69 6e 65 50 72 6f Object.definePro
0002c560: 70 65 72 74 79 28 65 2c 22 70 72 6f 74 6f 74 79 perty(e,"prototy
0002c570: 70 65 22 2c 7b 77 72 69 74 61 62 6c 65 3a 21 31 pe",{writable:!1
0002c580: 7d 29 2c 74 26 26 6e 74 28 65 2c 74 29 7d 28 73 }),t&&nt(e,t)}(s
0002c590: 2c 65 29 3b 76 61 72 20 74 2c 6e 2c 69 2c 61 3d ,e);var t,n,i,a=
0002c5a0: 4a 65 28 73 29 3b 66 75 6e 63 74 69 6f 6e 20 73 Je(s);function s
0002c5b0: 28 29 7b 76 61 72 20 65 3b 72 65 74 75 72 6e 20 (){var e;return
0002c5c0: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 69 66 function(e,t){if
0002c5d0: 28 21 28 65 20 69 6e 73 74 61 6e 63 65 6f 66 20 (!(e instanceof
0002c5e0: 74 29 29 74 68 72 6f 77 20 6e 65 77 20 54 79 70 t))throw new Typ
0002c5f0: 65 45 72 72 6f 72 28 22 43 61 6e 6e 6f 74 20 63 eError("Cannot c
0002c600: 61 6c 6c 20 61 20 63 6c 61 73 73 20 61 73 20 61 all a class as a
0002c610: 20 66 75 6e 63 74 69 6f 6e 22 29 7d 28 74 68 69 function")}(thi
0002c620: 73 2c 73 29 2c 28 65 3d 61 2e 63 61 6c 6c 28 74 s,s),(e=a.call(t
0002c630: 68 69 73 29 29 2e 61 74 74 61 63 68 53 68 61 64 his)).attachShad
0002c640: 6f 77 28 7b 6d 6f 64 65 3a 22 6f 70 65 6e 22 7d ow({mode:"open"}
0002c650: 29 2c 65 2e 73 68 61 64 6f 77 52 6f 6f 74 2e 69 ),e.shadowRoot.i
0002c660: 6e 6e 65 72 48 54 4d 4c 3d 22 3c 73 6c 6f 74 3e nnerHTML="<slot>
0002c670: 3c 2f 73 6c 6f 74 3e 22 2c 65 2e 73 65 6c 65 63 </slot>",e.selec
0002c680: 74 6f 72 50 72 6f 6d 69 73 65 3d 6e 65 77 20 50 torPromise=new P
0002c690: 72 6f 6d 69 73 65 28 28 66 75 6e 63 74 69 6f 6e romise((function
0002c6a0: 28 74 29 7b 72 65 74 75 72 6e 20 65 2e 73 65 6c (t){return e.sel
0002c6b0: 65 63 74 6f 72 50 72 6f 6d 69 73 65 52 65 73 6f ectorPromiseReso
0002c6c0: 6c 76 65 3d 74 7d 29 29 2c 65 7d 72 65 74 75 72 lve=t})),e}retur
0002c6d0: 6e 20 74 3d 73 2c 6e 3d 5b 7b 6b 65 79 3a 22 73 n t=s,n=[{key:"s
0002c6e0: 65 6c 65 63 74 6f 72 22 2c 73 65 74 3a 66 75 6e elector",set:fun
0002c6f0: 63 74 69 6f 6e 28 65 29 7b 74 68 69 73 2e 73 65 ction(e){this.se
0002c700: 6c 65 63 74 6f 72 50 72 6f 6d 69 73 65 52 65 73 lectorPromiseRes
0002c710: 6f 6c 76 65 28 65 29 7d 7d 2c 7b 6b 65 79 3a 22 olve(e)}},{key:"
0002c720: 63 6f 6e 6e 65 63 74 65 64 43 61 6c 6c 62 61 63 connectedCallbac
0002c730: 6b 22 2c 76 61 6c 75 65 3a 66 75 6e 63 74 69 6f k",value:functio
0002c740: 6e 28 29 7b 76 61 72 20 65 3d 64 6f 63 75 6d 65 n(){var e=docume
0002c750: 6e 74 2e 63 72 65 61 74 65 45 6c 65 6d 65 6e 74 nt.createElement
0002c760: 28 22 64 69 76 22 29 3b 74 68 69 73 2e 61 70 70 ("div");this.app
0002c770: 65 6e 64 43 68 69 6c 64 28 65 29 3b 76 61 72 20 endChild(e);var
0002c780: 74 3d 74 68 69 73 2e 67 65 74 41 74 74 72 69 62 t=this.getAttrib
0002c790: 75 74 65 28 22 73 72 63 22 29 2c 6e 3d 74 68 69 ute("src"),n=thi
0002c7a0: 73 2e 67 65 74 41 74 74 72 69 62 75 74 65 28 22 s.getAttribute("
0002c7b0: 63 6f 64 65 22 29 3b 28 30 2c 6f 2e 73 29 28 65 code");(0,o.s)(e
0002c7c0: 29 2e 72 65 6e 64 65 72 28 72 2e 63 72 65 61 74 ).render(r.creat
0002c7d0: 65 45 6c 65 6d 65 6e 74 28 4b 65 2c 7b 77 69 64 eElement(Ke,{wid
0002c7e0: 67 65 74 53 72 63 3a 74 2c 63 6f 64 65 3a 6e 2c getSrc:t,code:n,
0002c7f0: 73 65 6c 65 63 74 6f 72 50 72 6f 6d 69 73 65 3a selectorPromise:
0002c800: 74 68 69 73 2e 73 65 6c 65 63 74 6f 72 50 72 6f this.selectorPro
0002c810: 6d 69 73 65 7d 29 29 7d 7d 2c 7b 6b 65 79 3a 22 mise}))}},{key:"
0002c820: 61 74 74 72 69 62 75 74 65 43 68 61 6e 67 65 64 attributeChanged
0002c830: 43 61 6c 6c 62 61 63 6b 22 2c 76 61 6c 75 65 3a Callback",value:
0002c840: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b function(e,t,n){
0002c850: 69 66 28 74 21 3d 3d 6e 29 7b 76 61 72 20 69 3d if(t!==n){var i=
0002c860: 74 68 69 73 2e 73 68 61 64 6f 77 52 6f 6f 74 2e this.shadowRoot.
0002c870: 71 75 65 72 79 53 65 6c 65 63 74 6f 72 28 22 64 querySelector("d
0002c880: 69 76 22 29 3b 28 30 2c 6f 2e 73 29 28 69 29 2e iv");(0,o.s)(i).
0002c890: 72 65 6e 64 65 72 28 72 2e 63 72 65 61 74 65 45 render(r.createE
0002c8a0: 6c 65 6d 65 6e 74 28 4b 65 2c 66 75 6e 63 74 69 lement(Ke,functi
0002c8b0: 6f 6e 28 65 2c 74 2c 6e 29 7b 72 65 74 75 72 6e on(e,t,n){return
0002c8c0: 28 74 3d 51 65 28 74 29 29 69 6e 20 65 3f 4f 62 (t=Qe(t))in e?Ob
0002c8d0: 6a 65 63 74 2e 64 65 66 69 6e 65 50 72 6f 70 65 ject.definePrope
0002c8e0: 72 74 79 28 65 2c 74 2c 7b 76 61 6c 75 65 3a 6e rty(e,t,{value:n
0002c8f0: 2c 65 6e 75 6d 65 72 61 62 6c 65 3a 21 30 2c 63 ,enumerable:!0,c
0002c900: 6f 6e 66 69 67 75 72 61 62 6c 65 3a 21 30 2c 77 onfigurable:!0,w
0002c910: 72 69 74 61 62 6c 65 3a 21 30 7d 29 3a 65 5b 74 ritable:!0}):e[t
0002c920: 5d 3d 6e 2c 65 7d 28 7b 7d 2c 65 2c 6e 29 29 29 ]=n,e}({},e,n)))
0002c930: 7d 7d 7d 5d 2c 69 3d 5b 7b 6b 65 79 3a 22 6f 62 }}}],i=[{key:"ob
0002c940: 73 65 72 76 65 64 41 74 74 72 69 62 75 74 65 73 servedAttributes
0002c950: 22 2c 67 65 74 3a 66 75 6e 63 74 69 6f 6e 28 29 ",get:function()
0002c960: 7b 72 65 74 75 72 6e 5b 22 73 72 63 22 2c 22 63 {return["src","c
0002c970: 6f 64 65 22 5d 7d 7d 5d 2c 6e 26 26 58 65 28 74 ode"]}}],n&&Xe(t
0002c980: 2e 70 72 6f 74 6f 74 79 70 65 2c 6e 29 2c 69 26 .prototype,n),i&
0002c990: 26 58 65 28 74 2c 69 29 2c 4f 62 6a 65 63 74 2e &Xe(t,i),Object.
0002c9a0: 64 65 66 69 6e 65 50 72 6f 70 65 72 74 79 28 74 defineProperty(t
0002c9b0: 2c 22 70 72 6f 74 6f 74 79 70 65 22 2c 7b 77 72 ,"prototype",{wr
0002c9c0: 69 74 61 62 6c 65 3a 21 31 7d 29 2c 73 7d 28 24 itable:!1}),s}($
0002c9d0: 65 28 48 54 4d 4c 45 6c 65 6d 65 6e 74 29 29 3b e(HTMLElement));
0002c9e0: 63 75 73 74 6f 6d 45 6c 65 6d 65 6e 74 73 2e 64 customElements.d
0002c9f0: 65 66 69 6e 65 28 22 6e 65 61 72 2d 73 6f 63 69 efine("near-soci
0002ca00: 61 6c 2d 76 69 65 77 65 72 22 2c 6f 74 29 7d 2c al-viewer",ot)},
0002ca10: 35 38 31 36 32 3a 66 75 6e 63 74 69 6f 6e 28 65 58162:function(e
0002ca20: 2c 74 2c 6e 29 7b 22 75 73 65 20 73 74 72 69 63 ,t,n){"use stric
0002ca30: 74 22 3b 76 61 72 20 72 3d 6e 28 38 39 35 30 39 t";var r=n(89509
0002ca40: 29 2e 42 75 66 66 65 72 3b 65 2e 65 78 70 6f 72 ).Buffer;e.expor
0002ca50: 74 73 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 ts=function(e){i
0002ca60: 66 28 65 2e 6c 65 6e 67 74 68 3e 3d 32 35 35 29 f(e.length>=255)
0002ca70: 74 68 72 6f 77 20 6e 65 77 20 54 79 70 65 45 72 throw new TypeEr
0002ca80: 72 6f 72 28 22 41 6c 70 68 61 62 65 74 20 74 6f ror("Alphabet to
0002ca90: 6f 20 6c 6f 6e 67 22 29 3b 66 6f 72 28 76 61 72 o long");for(var
0002caa0: 20 74 3d 6e 65 77 20 55 69 6e 74 38 41 72 72 61 t=new Uint8Arra
0002cab0: 79 28 32 35 36 29 2c 6e 3d 30 3b 6e 3c 74 2e 6c y(256),n=0;n<t.l
0002cac0: 65 6e 67 74 68 3b 6e 2b 2b 29 74 5b 6e 5d 3d 32 ength;n++)t[n]=2
0002cad0: 35 35 3b 66 6f 72 28 76 61 72 20 6f 3d 30 3b 6f 55;for(var o=0;o
0002cae0: 3c 65 2e 6c 65 6e 67 74 68 3b 6f 2b 2b 29 7b 76 <e.length;o++){v
0002caf0: 61 72 20 69 3d 65 2e 63 68 61 72 41 74 28 6f 29 ar i=e.charAt(o)
0002cb00: 2c 61 3d 69 2e 63 68 61 72 43 6f 64 65 41 74 28 ,a=i.charCodeAt(
0002cb10: 30 29 3b 69 66 28 32 35 35 21 3d 3d 74 5b 61 5d 0);if(255!==t[a]
0002cb20: 29 74 68 72 6f 77 20 6e 65 77 20 54 79 70 65 45 )throw new TypeE
0002cb30: 72 72 6f 72 28 69 2b 22 20 69 73 20 61 6d 62 69 rror(i+" is ambi
0002cb40: 67 75 6f 75 73 22 29 3b 74 5b 61 5d 3d 6f 7d 76 guous");t[a]=o}v
0002cb50: 61 72 20 73 3d 65 2e 6c 65 6e 67 74 68 2c 6c 3d ar s=e.length,l=
0002cb60: 65 2e 63 68 61 72 41 74 28 30 29 2c 63 3d 4d 61 e.charAt(0),c=Ma
0002cb70: 74 68 2e 6c 6f 67 28 73 29 2f 4d 61 74 68 2e 6c th.log(s)/Math.l
0002cb80: 6f 67 28 32 35 36 29 2c 75 3d 4d 61 74 68 2e 6c og(256),u=Math.l
0002cb90: 6f 67 28 32 35 36 29 2f 4d 61 74 68 2e 6c 6f 67 og(256)/Math.log
0002cba0: 28 73 29 3b 66 75 6e 63 74 69 6f 6e 20 64 28 65 (s);function d(e
0002cbb0: 29 7b 69 66 28 22 73 74 72 69 6e 67 22 21 3d 74 ){if("string"!=t
0002cbc0: 79 70 65 6f 66 20 65 29 74 68 72 6f 77 20 6e 65 ypeof e)throw ne
0002cbd0: 77 20 54 79 70 65 45 72 72 6f 72 28 22 45 78 70 w TypeError("Exp
0002cbe0: 65 63 74 65 64 20 53 74 72 69 6e 67 22 29 3b 69 ected String");i
0002cbf0: 66 28 30 3d 3d 3d 65 2e 6c 65 6e 67 74 68 29 72 f(0===e.length)r
0002cc00: 65 74 75 72 6e 20 72 2e 61 6c 6c 6f 63 28 30 29 eturn r.alloc(0)
0002cc10: 3b 66 6f 72 28 76 61 72 20 6e 3d 30 2c 6f 3d 30 ;for(var n=0,o=0
0002cc20: 2c 69 3d 30 3b 65 5b 6e 5d 3d 3d 3d 6c 3b 29 6f ,i=0;e[n]===l;)o
0002cc30: 2b 2b 2c 6e 2b 2b 3b 66 6f 72 28 76 61 72 20 61 ++,n++;for(var a
0002cc40: 3d 28 65 2e 6c 65 6e 67 74 68 2d 6e 29 2a 63 2b =(e.length-n)*c+
0002cc50: 31 3e 3e 3e 30 2c 75 3d 6e 65 77 20 55 69 6e 74 1>>>0,u=new Uint
0002cc60: 38 41 72 72 61 79 28 61 29 3b 65 5b 6e 5d 3b 29 8Array(a);e[n];)
0002cc70: 7b 76 61 72 20 64 3d 74 5b 65 2e 63 68 61 72 43 {var d=t[e.charC
0002cc80: 6f 64 65 41 74 28 6e 29 5d 3b 69 66 28 32 35 35 odeAt(n)];if(255
0002cc90: 3d 3d 3d 64 29 72 65 74 75 72 6e 3b 66 6f 72 28 ===d)return;for(
0002cca0: 76 61 72 20 70 3d 30 2c 66 3d 61 2d 31 3b 28 30 var p=0,f=a-1;(0
0002ccb0: 21 3d 3d 64 7c 7c 70 3c 69 29 26 26 2d 31 21 3d !==d||p<i)&&-1!=
0002ccc0: 3d 66 3b 66 2d 2d 2c 70 2b 2b 29 64 2b 3d 73 2a =f;f--,p++)d+=s*
0002ccd0: 75 5b 66 5d 3e 3e 3e 30 2c 75 5b 66 5d 3d 64 25 u[f]>>>0,u[f]=d%
0002cce0: 32 35 36 3e 3e 3e 30 2c 64 3d 64 2f 32 35 36 3e 256>>>0,d=d/256>
0002ccf0: 3e 3e 30 3b 69 66 28 30 21 3d 3d 64 29 74 68 72 >>0;if(0!==d)thr
0002cd00: 6f 77 20 6e 65 77 20 45 72 72 6f 72 28 22 4e 6f ow new Error("No
0002cd10: 6e 2d 7a 65 72 6f 20 63 61 72 72 79 22 29 3b 69 n-zero carry");i
0002cd20: 3d 70 2c 6e 2b 2b 7d 66 6f 72 28 76 61 72 20 6d =p,n++}for(var m
0002cd30: 3d 61 2d 69 3b 6d 21 3d 3d 61 26 26 30 3d 3d 3d =a-i;m!==a&&0===
0002cd40: 75 5b 6d 5d 3b 29 6d 2b 2b 3b 76 61 72 20 68 3d u[m];)m++;var h=
0002cd50: 72 2e 61 6c 6c 6f 63 55 6e 73 61 66 65 28 6f 2b r.allocUnsafe(o+
0002cd60: 28 61 2d 6d 29 29 3b 68 2e 66 69 6c 6c 28 30 2c (a-m));h.fill(0,
0002cd70: 30 2c 6f 29 3b 66 6f 72 28 76 61 72 20 67 3d 6f 0,o);for(var g=o
0002cd80: 3b 6d 21 3d 3d 61 3b 29 68 5b 67 2b 2b 5d 3d 75 ;m!==a;)h[g++]=u
0002cd90: 5b 6d 2b 2b 5d 3b 72 65 74 75 72 6e 20 68 7d 72 [m++];return h}r
0002cda0: 65 74 75 72 6e 7b 65 6e 63 6f 64 65 3a 66 75 6e eturn{encode:fun
0002cdb0: 63 74 69 6f 6e 28 74 29 7b 69 66 28 28 41 72 72 ction(t){if((Arr
0002cdc0: 61 79 2e 69 73 41 72 72 61 79 28 74 29 7c 7c 74 ay.isArray(t)||t
0002cdd0: 20 69 6e 73 74 61 6e 63 65 6f 66 20 55 69 6e 74 instanceof Uint
0002cde0: 38 41 72 72 61 79 29 26 26 28 74 3d 72 2e 66 72 8Array)&&(t=r.fr
0002cdf0: 6f 6d 28 74 29 29 2c 21 72 2e 69 73 42 75 66 66 om(t)),!r.isBuff
0002ce00: 65 72 28 74 29 29 74 68 72 6f 77 20 6e 65 77 20 er(t))throw new
0002ce10: 54 79 70 65 45 72 72 6f 72 28 22 45 78 70 65 63 TypeError("Expec
0002ce20: 74 65 64 20 42 75 66 66 65 72 22 29 3b 69 66 28 ted Buffer");if(
0002ce30: 30 3d 3d 3d 74 2e 6c 65 6e 67 74 68 29 72 65 74 0===t.length)ret
0002ce40: 75 72 6e 22 22 3b 66 6f 72 28 76 61 72 20 6e 3d urn"";for(var n=
0002ce50: 30 2c 6f 3d 30 2c 69 3d 30 2c 61 3d 74 2e 6c 65 0,o=0,i=0,a=t.le
0002ce60: 6e 67 74 68 3b 69 21 3d 3d 61 26 26 30 3d 3d 3d ngth;i!==a&&0===
0002ce70: 74 5b 69 5d 3b 29 69 2b 2b 2c 6e 2b 2b 3b 66 6f t[i];)i++,n++;fo
0002ce80: 72 28 76 61 72 20 63 3d 28 61 2d 69 29 2a 75 2b r(var c=(a-i)*u+
0002ce90: 31 3e 3e 3e 30 2c 64 3d 6e 65 77 20 55 69 6e 74 1>>>0,d=new Uint
0002cea0: 38 41 72 72 61 79 28 63 29 3b 69 21 3d 3d 61 3b 8Array(c);i!==a;
0002ceb0: 29 7b 66 6f 72 28 76 61 72 20 70 3d 74 5b 69 5d ){for(var p=t[i]
0002cec0: 2c 66 3d 30 2c 6d 3d 63 2d 31 3b 28 30 21 3d 3d ,f=0,m=c-1;(0!==
0002ced0: 70 7c 7c 66 3c 6f 29 26 26 2d 31 21 3d 3d 6d 3b p||f<o)&&-1!==m;
0002cee0: 6d 2d 2d 2c 66 2b 2b 29 70 2b 3d 32 35 36 2a 64 m--,f++)p+=256*d
0002cef0: 5b 6d 5d 3e 3e 3e 30 2c 64 5b 6d 5d 3d 70 25 73 [m]>>>0,d[m]=p%s
0002cf00: 3e 3e 3e 30 2c 70 3d 70 2f 73 3e 3e 3e 30 3b 69 >>>0,p=p/s>>>0;i
0002cf10: 66 28 30 21 3d 3d 70 29 74 68 72 6f 77 20 6e 65 f(0!==p)throw ne
0002cf20: 77 20 45 72 72 6f 72 28 22 4e 6f 6e 2d 7a 65 72 w Error("Non-zer
0002cf30: 6f 20 63 61 72 72 79 22 29 3b 6f 3d 66 2c 69 2b o carry");o=f,i+
0002cf40: 2b 7d 66 6f 72 28 76 61 72 20 68 3d 63 2d 6f 3b +}for(var h=c-o;
0002cf50: 68 21 3d 3d 63 26 26 30 3d 3d 3d 64 5b 68 5d 3b h!==c&&0===d[h];
0002cf60: 29 68 2b 2b 3b 66 6f 72 28 76 61 72 20 67 3d 6c )h++;for(var g=l
0002cf70: 2e 72 65 70 65 61 74 28 6e 29 3b 68 3c 63 3b 2b .repeat(n);h<c;+
0002cf80: 2b 68 29 67 2b 3d 65 2e 63 68 61 72 41 74 28 64 +h)g+=e.charAt(d
0002cf90: 5b 68 5d 29 3b 72 65 74 75 72 6e 20 67 7d 2c 64 [h]);return g},d
0002cfa0: 65 63 6f 64 65 55 6e 73 61 66 65 3a 64 2c 64 65 ecodeUnsafe:d,de
0002cfb0: 63 6f 64 65 3a 66 75 6e 63 74 69 6f 6e 28 65 29 code:function(e)
0002cfc0: 7b 76 61 72 20 74 3d 64 28 65 29 3b 69 66 28 74 {var t=d(e);if(t
0002cfd0: 29 72 65 74 75 72 6e 20 74 3b 74 68 72 6f 77 20 )return t;throw
0002cfe0: 6e 65 77 20 45 72 72 6f 72 28 22 4e 6f 6e 2d 62 new Error("Non-b
0002cff0: 61 73 65 22 2b 73 2b 22 20 63 68 61 72 61 63 74 ase"+s+" charact
0002d000: 65 72 22 29 7d 7d 7d 7d 2c 37 39 37 34 32 3a 66 er")}}}},79742:f
0002d010: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 22 75 73 unction(e,t){"us
0002d020: 65 20 73 74 72 69 63 74 22 3b 74 2e 62 79 74 65 e strict";t.byte
0002d030: 4c 65 6e 67 74 68 3d 66 75 6e 63 74 69 6f 6e 28 Length=function(
0002d040: 65 29 7b 76 61 72 20 74 3d 6c 28 65 29 2c 6e 3d e){var t=l(e),n=
0002d050: 74 5b 30 5d 2c 72 3d 74 5b 31 5d 3b 72 65 74 75 t[0],r=t[1];retu
0002d060: 72 6e 20 33 2a 28 6e 2b 72 29 2f 34 2d 72 7d 2c rn 3*(n+r)/4-r},
0002d070: 74 2e 74 6f 42 79 74 65 41 72 72 61 79 3d 66 75 t.toByteArray=fu
0002d080: 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 2c nction(e){var t,
0002d090: 6e 2c 69 3d 6c 28 65 29 2c 61 3d 69 5b 30 5d 2c n,i=l(e),a=i[0],
0002d0a0: 73 3d 69 5b 31 5d 2c 63 3d 6e 65 77 20 6f 28 66 s=i[1],c=new o(f
0002d0b0: 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 72 unction(e,t,n){r
0002d0c0: 65 74 75 72 6e 20 33 2a 28 74 2b 6e 29 2f 34 2d eturn 3*(t+n)/4-
0002d0d0: 6e 7d 28 30 2c 61 2c 73 29 29 2c 75 3d 30 2c 64 n}(0,a,s)),u=0,d
0002d0e0: 3d 73 3e 30 3f 61 2d 34 3a 61 3b 66 6f 72 28 6e =s>0?a-4:a;for(n
0002d0f0: 3d 30 3b 6e 3c 64 3b 6e 2b 3d 34 29 74 3d 72 5b =0;n<d;n+=4)t=r[
0002d100: 65 2e 63 68 61 72 43 6f 64 65 41 74 28 6e 29 5d e.charCodeAt(n)]
0002d110: 3c 3c 31 38 7c 72 5b 65 2e 63 68 61 72 43 6f 64 <<18|r[e.charCod
0002d120: 65 41 74 28 6e 2b 31 29 5d 3c 3c 31 32 7c 72 5b eAt(n+1)]<<12|r[
0002d130: 65 2e 63 68 61 72 43 6f 64 65 41 74 28 6e 2b 32 e.charCodeAt(n+2
0002d140: 29 5d 3c 3c 36 7c 72 5b 65 2e 63 68 61 72 43 6f )]<<6|r[e.charCo
0002d150: 64 65 41 74 28 6e 2b 33 29 5d 2c 63 5b 75 2b 2b deAt(n+3)],c[u++
0002d160: 5d 3d 74 3e 3e 31 36 26 32 35 35 2c 63 5b 75 2b ]=t>>16&255,c[u+
0002d170: 2b 5d 3d 74 3e 3e 38 26 32 35 35 2c 63 5b 75 2b +]=t>>8&255,c[u+
0002d180: 2b 5d 3d 32 35 35 26 74 3b 32 3d 3d 3d 73 26 26 +]=255&t;2===s&&
0002d190: 28 74 3d 72 5b 65 2e 63 68 61 72 43 6f 64 65 41 (t=r[e.charCodeA
0002d1a0: 74 28 6e 29 5d 3c 3c 32 7c 72 5b 65 2e 63 68 61 t(n)]<<2|r[e.cha
0002d1b0: 72 43 6f 64 65 41 74 28 6e 2b 31 29 5d 3e 3e 34 rCodeAt(n+1)]>>4
0002d1c0: 2c 63 5b 75 2b 2b 5d 3d 32 35 35 26 74 29 3b 31 ,c[u++]=255&t);1
0002d1d0: 3d 3d 3d 73 26 26 28 74 3d 72 5b 65 2e 63 68 61 ===s&&(t=r[e.cha
0002d1e0: 72 43 6f 64 65 41 74 28 6e 29 5d 3c 3c 31 30 7c rCodeAt(n)]<<10|
0002d1f0: 72 5b 65 2e 63 68 61 72 43 6f 64 65 41 74 28 6e r[e.charCodeAt(n
0002d200: 2b 31 29 5d 3c 3c 34 7c 72 5b 65 2e 63 68 61 72 +1)]<<4|r[e.char
0002d210: 43 6f 64 65 41 74 28 6e 2b 32 29 5d 3e 3e 32 2c CodeAt(n+2)]>>2,
0002d220: 63 5b 75 2b 2b 5d 3d 74 3e 3e 38 26 32 35 35 2c c[u++]=t>>8&255,
0002d230: 63 5b 75 2b 2b 5d 3d 32 35 35 26 74 29 3b 72 65 c[u++]=255&t);re
0002d240: 74 75 72 6e 20 63 7d 2c 74 2e 66 72 6f 6d 42 79 turn c},t.fromBy
0002d250: 74 65 41 72 72 61 79 3d 66 75 6e 63 74 69 6f 6e teArray=function
0002d260: 28 65 29 7b 66 6f 72 28 76 61 72 20 74 2c 72 3d (e){for(var t,r=
0002d270: 65 2e 6c 65 6e 67 74 68 2c 6f 3d 72 25 33 2c 69 e.length,o=r%3,i
0002d280: 3d 5b 5d 2c 61 3d 31 36 33 38 33 2c 73 3d 30 2c =[],a=16383,s=0,
0002d290: 6c 3d 72 2d 6f 3b 73 3c 6c 3b 73 2b 3d 61 29 69 l=r-o;s<l;s+=a)i
0002d2a0: 2e 70 75 73 68 28 63 28 65 2c 73 2c 73 2b 61 3e .push(c(e,s,s+a>
0002d2b0: 6c 3f 6c 3a 73 2b 61 29 29 3b 31 3d 3d 3d 6f 3f l?l:s+a));1===o?
0002d2c0: 28 74 3d 65 5b 72 2d 31 5d 2c 69 2e 70 75 73 68 (t=e[r-1],i.push
0002d2d0: 28 6e 5b 74 3e 3e 32 5d 2b 6e 5b 74 3c 3c 34 26 (n[t>>2]+n[t<<4&
0002d2e0: 36 33 5d 2b 22 3d 3d 22 29 29 3a 32 3d 3d 3d 6f 63]+"==")):2===o
0002d2f0: 26 26 28 74 3d 28 65 5b 72 2d 32 5d 3c 3c 38 29 &&(t=(e[r-2]<<8)
0002d300: 2b 65 5b 72 2d 31 5d 2c 69 2e 70 75 73 68 28 6e +e[r-1],i.push(n
0002d310: 5b 74 3e 3e 31 30 5d 2b 6e 5b 74 3e 3e 34 26 36 [t>>10]+n[t>>4&6
0002d320: 33 5d 2b 6e 5b 74 3c 3c 32 26 36 33 5d 2b 22 3d 3]+n[t<<2&63]+"=
0002d330: 22 29 29 3b 72 65 74 75 72 6e 20 69 2e 6a 6f 69 "));return i.joi
0002d340: 6e 28 22 22 29 7d 3b 66 6f 72 28 76 61 72 20 6e n("")};for(var n
0002d350: 3d 5b 5d 2c 72 3d 5b 5d 2c 6f 3d 22 75 6e 64 65 =[],r=[],o="unde
0002d360: 66 69 6e 65 64 22 21 3d 74 79 70 65 6f 66 20 55 fined"!=typeof U
0002d370: 69 6e 74 38 41 72 72 61 79 3f 55 69 6e 74 38 41 int8Array?Uint8A
0002d380: 72 72 61 79 3a 41 72 72 61 79 2c 69 3d 22 41 42 rray:Array,i="AB
0002d390: 43 44 45 46 47 48 49 4a 4b 4c 4d 4e 4f 50 51 52 CDEFGHIJKLMNOPQR
0002d3a0: 53 54 55 56 57 58 59 5a 61 62 63 64 65 66 67 68 STUVWXYZabcdefgh
0002d3b0: 69 6a 6b 6c 6d 6e 6f 70 71 72 73 74 75 76 77 78 ijklmnopqrstuvwx
0002d3c0: 79 7a 30 31 32 33 34 35 36 37 38 39 2b 2f 22 2c yz0123456789+/",
0002d3d0: 61 3d 30 2c 73 3d 69 2e 6c 65 6e 67 74 68 3b 61 a=0,s=i.length;a
0002d3e0: 3c 73 3b 2b 2b 61 29 6e 5b 61 5d 3d 69 5b 61 5d <s;++a)n[a]=i[a]
0002d3f0: 2c 72 5b 69 2e 63 68 61 72 43 6f 64 65 41 74 28 ,r[i.charCodeAt(
0002d400: 61 29 5d 3d 61 3b 66 75 6e 63 74 69 6f 6e 20 6c a)]=a;function l
0002d410: 28 65 29 7b 76 61 72 20 74 3d 65 2e 6c 65 6e 67 (e){var t=e.leng
0002d420: 74 68 3b 69 66 28 74 25 34 3e 30 29 74 68 72 6f th;if(t%4>0)thro
0002d430: 77 20 6e 65 77 20 45 72 72 6f 72 28 22 49 6e 76 w new Error("Inv
0002d440: 61 6c 69 64 20 73 74 72 69 6e 67 2e 20 4c 65 6e alid string. Len
0002d450: 67 74 68 20 6d 75 73 74 20 62 65 20 61 20 6d 75 gth must be a mu
0002d460: 6c 74 69 70 6c 65 20 6f 66 20 34 22 29 3b 76 61 ltiple of 4");va
0002d470: 72 20 6e 3d 65 2e 69 6e 64 65 78 4f 66 28 22 3d r n=e.indexOf("=
0002d480: 22 29 3b 72 65 74 75 72 6e 2d 31 3d 3d 3d 6e 26 ");return-1===n&
0002d490: 26 28 6e 3d 74 29 2c 5b 6e 2c 6e 3d 3d 3d 74 3f &(n=t),[n,n===t?
0002d4a0: 30 3a 34 2d 6e 25 34 5d 7d 66 75 6e 63 74 69 6f 0:4-n%4]}functio
0002d4b0: 6e 20 63 28 65 2c 74 2c 72 29 7b 66 6f 72 28 76 n c(e,t,r){for(v
0002d4c0: 61 72 20 6f 2c 69 2c 61 3d 5b 5d 2c 73 3d 74 3b ar o,i,a=[],s=t;
0002d4d0: 73 3c 72 3b 73 2b 3d 33 29 6f 3d 28 65 5b 73 5d s<r;s+=3)o=(e[s]
0002d4e0: 3c 3c 31 36 26 31 36 37 31 31 36 38 30 29 2b 28 <<16&16711680)+(
0002d4f0: 65 5b 73 2b 31 5d 3c 3c 38 26 36 35 32 38 30 29 e[s+1]<<8&65280)
0002d500: 2b 28 32 35 35 26 65 5b 73 2b 32 5d 29 2c 61 2e +(255&e[s+2]),a.
0002d510: 70 75 73 68 28 6e 5b 28 69 3d 6f 29 3e 3e 31 38 push(n[(i=o)>>18
0002d520: 26 36 33 5d 2b 6e 5b 69 3e 3e 31 32 26 36 33 5d &63]+n[i>>12&63]
0002d530: 2b 6e 5b 69 3e 3e 36 26 36 33 5d 2b 6e 5b 36 33 +n[i>>6&63]+n[63
0002d540: 26 69 5d 29 3b 72 65 74 75 72 6e 20 61 2e 6a 6f &i]);return a.jo
0002d550: 69 6e 28 22 22 29 7d 72 5b 22 2d 22 2e 63 68 61 in("")}r["-".cha
0002d560: 72 43 6f 64 65 41 74 28 30 29 5d 3d 36 32 2c 72 rCodeAt(0)]=62,r
0002d570: 5b 22 5f 22 2e 63 68 61 72 43 6f 64 65 41 74 28 ["_".charCodeAt(
0002d580: 30 29 5d 3d 36 33 7d 2c 31 33 35 35 30 3a 66 75 0)]=63},13550:fu
0002d590: 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 21 66 nction(e,t,n){!f
0002d5a0: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 22 75 73 unction(e,t){"us
0002d5b0: 65 20 73 74 72 69 63 74 22 3b 66 75 6e 63 74 69 e strict";functi
0002d5c0: 6f 6e 20 72 28 65 2c 74 29 7b 69 66 28 21 65 29 on r(e,t){if(!e)
0002d5d0: 74 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 28 throw new Error(
0002d5e0: 74 7c 7c 22 41 73 73 65 72 74 69 6f 6e 20 66 61 t||"Assertion fa
0002d5f0: 69 6c 65 64 22 29 7d 66 75 6e 63 74 69 6f 6e 20 iled")}function
0002d600: 6f 28 65 2c 74 29 7b 65 2e 73 75 70 65 72 5f 3d o(e,t){e.super_=
0002d610: 74 3b 76 61 72 20 6e 3d 66 75 6e 63 74 69 6f 6e t;var n=function
0002d620: 28 29 7b 7d 3b 6e 2e 70 72 6f 74 6f 74 79 70 65 (){};n.prototype
0002d630: 3d 74 2e 70 72 6f 74 6f 74 79 70 65 2c 65 2e 70 =t.prototype,e.p
0002d640: 72 6f 74 6f 74 79 70 65 3d 6e 65 77 20 6e 2c 65 rototype=new n,e
0002d650: 2e 70 72 6f 74 6f 74 79 70 65 2e 63 6f 6e 73 74 .prototype.const
0002d660: 72 75 63 74 6f 72 3d 65 7d 66 75 6e 63 74 69 6f ructor=e}functio
0002d670: 6e 20 69 28 65 2c 74 2c 6e 29 7b 69 66 28 69 2e n i(e,t,n){if(i.
0002d680: 69 73 42 4e 28 65 29 29 72 65 74 75 72 6e 20 65 isBN(e))return e
0002d690: 3b 74 68 69 73 2e 6e 65 67 61 74 69 76 65 3d 30 ;this.negative=0
0002d6a0: 2c 74 68 69 73 2e 77 6f 72 64 73 3d 6e 75 6c 6c ,this.words=null
0002d6b0: 2c 74 68 69 73 2e 6c 65 6e 67 74 68 3d 30 2c 74 ,this.length=0,t
0002d6c0: 68 69 73 2e 72 65 64 3d 6e 75 6c 6c 2c 6e 75 6c his.red=null,nul
0002d6d0: 6c 21 3d 3d 65 26 26 28 22 6c 65 22 21 3d 3d 74 l!==e&&("le"!==t
0002d6e0: 26 26 22 62 65 22 21 3d 3d 74 7c 7c 28 6e 3d 74 &&"be"!==t||(n=t
0002d6f0: 2c 74 3d 31 30 29 2c 74 68 69 73 2e 5f 69 6e 69 ,t=10),this._ini
0002d700: 74 28 65 7c 7c 30 2c 74 7c 7c 31 30 2c 6e 7c 7c t(e||0,t||10,n||
0002d710: 22 62 65 22 29 29 7d 76 61 72 20 61 3b 22 6f 62 "be"))}var a;"ob
0002d720: 6a 65 63 74 22 3d 3d 74 79 70 65 6f 66 20 65 3f ject"==typeof e?
0002d730: 65 2e 65 78 70 6f 72 74 73 3d 69 3a 74 2e 42 4e e.exports=i:t.BN
0002d740: 3d 69 2c 69 2e 42 4e 3d 69 2c 69 2e 77 6f 72 64 =i,i.BN=i,i.word
0002d750: 53 69 7a 65 3d 32 36 3b 74 72 79 7b 61 3d 22 75 Size=26;try{a="u
0002d760: 6e 64 65 66 69 6e 65 64 22 21 3d 74 79 70 65 6f ndefined"!=typeo
0002d770: 66 20 77 69 6e 64 6f 77 26 26 76 6f 69 64 20 30 f window&&void 0
0002d780: 21 3d 3d 77 69 6e 64 6f 77 2e 42 75 66 66 65 72 !==window.Buffer
0002d790: 3f 77 69 6e 64 6f 77 2e 42 75 66 66 65 72 3a 6e ?window.Buffer:n
0002d7a0: 28 34 36 36 30 31 29 2e 42 75 66 66 65 72 7d 63 (46601).Buffer}c
0002d7b0: 61 74 63 68 28 65 29 7b 7d 66 75 6e 63 74 69 6f atch(e){}functio
0002d7c0: 6e 20 73 28 65 2c 74 29 7b 76 61 72 20 6e 3d 65 n s(e,t){var n=e
0002d7d0: 2e 63 68 61 72 43 6f 64 65 41 74 28 74 29 3b 72 .charCodeAt(t);r
0002d7e0: 65 74 75 72 6e 20 6e 3e 3d 34 38 26 26 6e 3c 3d eturn n>=48&&n<=
0002d7f0: 35 37 3f 6e 2d 34 38 3a 6e 3e 3d 36 35 26 26 6e 57?n-48:n>=65&&n
0002d800: 3c 3d 37 30 3f 6e 2d 35 35 3a 6e 3e 3d 39 37 26 <=70?n-55:n>=97&
0002d810: 26 6e 3c 3d 31 30 32 3f 6e 2d 38 37 3a 76 6f 69 &n<=102?n-87:voi
0002d820: 64 20 72 28 21 31 2c 22 49 6e 76 61 6c 69 64 20 d r(!1,"Invalid
0002d830: 63 68 61 72 61 63 74 65 72 20 69 6e 20 22 2b 65 character in "+e
0002d840: 29 7d 66 75 6e 63 74 69 6f 6e 20 6c 28 65 2c 74 )}function l(e,t
0002d850: 2c 6e 29 7b 76 61 72 20 72 3d 73 28 65 2c 6e 29 ,n){var r=s(e,n)
0002d860: 3b 72 65 74 75 72 6e 20 6e 2d 31 3e 3d 74 26 26 ;return n-1>=t&&
0002d870: 28 72 7c 3d 73 28 65 2c 6e 2d 31 29 3c 3c 34 29 (r|=s(e,n-1)<<4)
0002d880: 2c 72 7d 66 75 6e 63 74 69 6f 6e 20 63 28 65 2c ,r}function c(e,
0002d890: 74 2c 6e 2c 6f 29 7b 66 6f 72 28 76 61 72 20 69 t,n,o){for(var i
0002d8a0: 3d 30 2c 61 3d 30 2c 73 3d 4d 61 74 68 2e 6d 69 =0,a=0,s=Math.mi
0002d8b0: 6e 28 65 2e 6c 65 6e 67 74 68 2c 6e 29 2c 6c 3d n(e.length,n),l=
0002d8c0: 74 3b 6c 3c 73 3b 6c 2b 2b 29 7b 76 61 72 20 63 t;l<s;l++){var c
0002d8d0: 3d 65 2e 63 68 61 72 43 6f 64 65 41 74 28 6c 29 =e.charCodeAt(l)
0002d8e0: 2d 34 38 3b 69 2a 3d 6f 2c 61 3d 63 3e 3d 34 39 -48;i*=o,a=c>=49
0002d8f0: 3f 63 2d 34 39 2b 31 30 3a 63 3e 3d 31 37 3f 63 ?c-49+10:c>=17?c
0002d900: 2d 31 37 2b 31 30 3a 63 2c 72 28 63 3e 3d 30 26 -17+10:c,r(c>=0&
0002d910: 26 61 3c 6f 2c 22 49 6e 76 61 6c 69 64 20 63 68 &a<o,"Invalid ch
0002d920: 61 72 61 63 74 65 72 22 29 2c 69 2b 3d 61 7d 72 aracter"),i+=a}r
0002d930: 65 74 75 72 6e 20 69 7d 66 75 6e 63 74 69 6f 6e eturn i}function
0002d940: 20 75 28 65 2c 74 29 7b 65 2e 77 6f 72 64 73 3d u(e,t){e.words=
0002d950: 74 2e 77 6f 72 64 73 2c 65 2e 6c 65 6e 67 74 68 t.words,e.length
0002d960: 3d 74 2e 6c 65 6e 67 74 68 2c 65 2e 6e 65 67 61 =t.length,e.nega
0002d970: 74 69 76 65 3d 74 2e 6e 65 67 61 74 69 76 65 2c tive=t.negative,
0002d980: 65 2e 72 65 64 3d 74 2e 72 65 64 7d 69 66 28 69 e.red=t.red}if(i
0002d990: 2e 69 73 42 4e 3d 66 75 6e 63 74 69 6f 6e 28 65 .isBN=function(e
0002d9a0: 29 7b 72 65 74 75 72 6e 20 65 20 69 6e 73 74 61 ){return e insta
0002d9b0: 6e 63 65 6f 66 20 69 7c 7c 6e 75 6c 6c 21 3d 3d nceof i||null!==
0002d9c0: 65 26 26 22 6f 62 6a 65 63 74 22 3d 3d 74 79 70 e&&"object"==typ
0002d9d0: 65 6f 66 20 65 26 26 65 2e 63 6f 6e 73 74 72 75 eof e&&e.constru
0002d9e0: 63 74 6f 72 2e 77 6f 72 64 53 69 7a 65 3d 3d 3d ctor.wordSize===
0002d9f0: 69 2e 77 6f 72 64 53 69 7a 65 26 26 41 72 72 61 i.wordSize&&Arra
0002da00: 79 2e 69 73 41 72 72 61 79 28 65 2e 77 6f 72 64 y.isArray(e.word
0002da10: 73 29 7d 2c 69 2e 6d 61 78 3d 66 75 6e 63 74 69 s)},i.max=functi
0002da20: 6f 6e 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 65 on(e,t){return e
0002da30: 2e 63 6d 70 28 74 29 3e 30 3f 65 3a 74 7d 2c 69 .cmp(t)>0?e:t},i
0002da40: 2e 6d 69 6e 3d 66 75 6e 63 74 69 6f 6e 28 65 2c .min=function(e,
0002da50: 74 29 7b 72 65 74 75 72 6e 20 65 2e 63 6d 70 28 t){return e.cmp(
0002da60: 74 29 3c 30 3f 65 3a 74 7d 2c 69 2e 70 72 6f 74 t)<0?e:t},i.prot
0002da70: 6f 74 79 70 65 2e 5f 69 6e 69 74 3d 66 75 6e 63 otype._init=func
0002da80: 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 69 66 28 22 tion(e,t,n){if("
0002da90: 6e 75 6d 62 65 72 22 3d 3d 74 79 70 65 6f 66 20 number"==typeof
0002daa0: 65 29 72 65 74 75 72 6e 20 74 68 69 73 2e 5f 69 e)return this._i
0002dab0: 6e 69 74 4e 75 6d 62 65 72 28 65 2c 74 2c 6e 29 nitNumber(e,t,n)
0002dac0: 3b 69 66 28 22 6f 62 6a 65 63 74 22 3d 3d 74 79 ;if("object"==ty
0002dad0: 70 65 6f 66 20 65 29 72 65 74 75 72 6e 20 74 68 peof e)return th
0002dae0: 69 73 2e 5f 69 6e 69 74 41 72 72 61 79 28 65 2c is._initArray(e,
0002daf0: 74 2c 6e 29 3b 22 68 65 78 22 3d 3d 3d 74 26 26 t,n);"hex"===t&&
0002db00: 28 74 3d 31 36 29 2c 72 28 74 3d 3d 3d 28 30 7c (t=16),r(t===(0|
0002db10: 74 29 26 26 74 3e 3d 32 26 26 74 3c 3d 33 36 29 t)&&t>=2&&t<=36)
0002db20: 3b 76 61 72 20 6f 3d 30 3b 22 2d 22 3d 3d 3d 28 ;var o=0;"-"===(
0002db30: 65 3d 65 2e 74 6f 53 74 72 69 6e 67 28 29 2e 72 e=e.toString().r
0002db40: 65 70 6c 61 63 65 28 2f 5c 73 2b 2f 67 2c 22 22 eplace(/\s+/g,""
0002db50: 29 29 5b 30 5d 26 26 28 6f 2b 2b 2c 74 68 69 73 ))[0]&&(o++,this
0002db60: 2e 6e 65 67 61 74 69 76 65 3d 31 29 2c 6f 3c 65 .negative=1),o<e
0002db70: 2e 6c 65 6e 67 74 68 26 26 28 31 36 3d 3d 3d 74 .length&&(16===t
0002db80: 3f 74 68 69 73 2e 5f 70 61 72 73 65 48 65 78 28 ?this._parseHex(
0002db90: 65 2c 6f 2c 6e 29 3a 28 74 68 69 73 2e 5f 70 61 e,o,n):(this._pa
0002dba0: 72 73 65 42 61 73 65 28 65 2c 74 2c 6f 29 2c 22 rseBase(e,t,o),"
0002dbb0: 6c 65 22 3d 3d 3d 6e 26 26 74 68 69 73 2e 5f 69 le"===n&&this._i
0002dbc0: 6e 69 74 41 72 72 61 79 28 74 68 69 73 2e 74 6f nitArray(this.to
0002dbd0: 41 72 72 61 79 28 29 2c 74 2c 6e 29 29 29 7d 2c Array(),t,n)))},
0002dbe0: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 5f 69 6e 69 i.prototype._ini
0002dbf0: 74 4e 75 6d 62 65 72 3d 66 75 6e 63 74 69 6f 6e tNumber=function
0002dc00: 28 65 2c 74 2c 6e 29 7b 65 3c 30 26 26 28 74 68 (e,t,n){e<0&&(th
0002dc10: 69 73 2e 6e 65 67 61 74 69 76 65 3d 31 2c 65 3d is.negative=1,e=
0002dc20: 2d 65 29 2c 65 3c 36 37 31 30 38 38 36 34 3f 28 -e),e<67108864?(
0002dc30: 74 68 69 73 2e 77 6f 72 64 73 3d 5b 36 37 31 30 this.words=[6710
0002dc40: 38 38 36 33 26 65 5d 2c 74 68 69 73 2e 6c 65 6e 8863&e],this.len
0002dc50: 67 74 68 3d 31 29 3a 65 3c 34 35 30 33 35 39 39 gth=1):e<4503599
0002dc60: 36 32 37 33 37 30 34 39 36 3f 28 74 68 69 73 2e 627370496?(this.
0002dc70: 77 6f 72 64 73 3d 5b 36 37 31 30 38 38 36 33 26 words=[67108863&
0002dc80: 65 2c 65 2f 36 37 31 30 38 38 36 34 26 36 37 31 e,e/67108864&671
0002dc90: 30 38 38 36 33 5d 2c 74 68 69 73 2e 6c 65 6e 67 08863],this.leng
0002dca0: 74 68 3d 32 29 3a 28 72 28 65 3c 39 30 30 37 31 th=2):(r(e<90071
0002dcb0: 39 39 32 35 34 37 34 30 39 39 32 29 2c 74 68 69 99254740992),thi
0002dcc0: 73 2e 77 6f 72 64 73 3d 5b 36 37 31 30 38 38 36 s.words=[6710886
0002dcd0: 33 26 65 2c 65 2f 36 37 31 30 38 38 36 34 26 36 3&e,e/67108864&6
0002dce0: 37 31 30 38 38 36 33 2c 31 5d 2c 74 68 69 73 2e 7108863,1],this.
0002dcf0: 6c 65 6e 67 74 68 3d 33 29 2c 22 6c 65 22 3d 3d length=3),"le"==
0002dd00: 3d 6e 26 26 74 68 69 73 2e 5f 69 6e 69 74 41 72 =n&&this._initAr
0002dd10: 72 61 79 28 74 68 69 73 2e 74 6f 41 72 72 61 79 ray(this.toArray
0002dd20: 28 29 2c 74 2c 6e 29 7d 2c 69 2e 70 72 6f 74 6f (),t,n)},i.proto
0002dd30: 74 79 70 65 2e 5f 69 6e 69 74 41 72 72 61 79 3d type._initArray=
0002dd40: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b function(e,t,n){
0002dd50: 69 66 28 72 28 22 6e 75 6d 62 65 72 22 3d 3d 74 if(r("number"==t
0002dd60: 79 70 65 6f 66 20 65 2e 6c 65 6e 67 74 68 29 2c ypeof e.length),
0002dd70: 65 2e 6c 65 6e 67 74 68 3c 3d 30 29 72 65 74 75 e.length<=0)retu
0002dd80: 72 6e 20 74 68 69 73 2e 77 6f 72 64 73 3d 5b 30 rn this.words=[0
0002dd90: 5d 2c 74 68 69 73 2e 6c 65 6e 67 74 68 3d 31 2c ],this.length=1,
0002dda0: 74 68 69 73 3b 74 68 69 73 2e 6c 65 6e 67 74 68 this;this.length
0002ddb0: 3d 4d 61 74 68 2e 63 65 69 6c 28 65 2e 6c 65 6e =Math.ceil(e.len
0002ddc0: 67 74 68 2f 33 29 2c 74 68 69 73 2e 77 6f 72 64 gth/3),this.word
0002ddd0: 73 3d 6e 65 77 20 41 72 72 61 79 28 74 68 69 73 s=new Array(this
0002dde0: 2e 6c 65 6e 67 74 68 29 3b 66 6f 72 28 76 61 72 .length);for(var
0002ddf0: 20 6f 3d 30 3b 6f 3c 74 68 69 73 2e 6c 65 6e 67 o=0;o<this.leng
0002de00: 74 68 3b 6f 2b 2b 29 74 68 69 73 2e 77 6f 72 64 th;o++)this.word
0002de10: 73 5b 6f 5d 3d 30 3b 76 61 72 20 69 2c 61 2c 73 s[o]=0;var i,a,s
0002de20: 3d 30 3b 69 66 28 22 62 65 22 3d 3d 3d 6e 29 66 =0;if("be"===n)f
0002de30: 6f 72 28 6f 3d 65 2e 6c 65 6e 67 74 68 2d 31 2c or(o=e.length-1,
0002de40: 69 3d 30 3b 6f 3e 3d 30 3b 6f 2d 3d 33 29 61 3d i=0;o>=0;o-=3)a=
0002de50: 65 5b 6f 5d 7c 65 5b 6f 2d 31 5d 3c 3c 38 7c 65 e[o]|e[o-1]<<8|e
0002de60: 5b 6f 2d 32 5d 3c 3c 31 36 2c 74 68 69 73 2e 77 [o-2]<<16,this.w
0002de70: 6f 72 64 73 5b 69 5d 7c 3d 61 3c 3c 73 26 36 37 ords[i]|=a<<s&67
0002de80: 31 30 38 38 36 33 2c 74 68 69 73 2e 77 6f 72 64 108863,this.word
0002de90: 73 5b 69 2b 31 5d 3d 61 3e 3e 3e 32 36 2d 73 26 s[i+1]=a>>>26-s&
0002dea0: 36 37 31 30 38 38 36 33 2c 28 73 2b 3d 32 34 29 67108863,(s+=24)
0002deb0: 3e 3d 32 36 26 26 28 73 2d 3d 32 36 2c 69 2b 2b >=26&&(s-=26,i++
0002dec0: 29 3b 65 6c 73 65 20 69 66 28 22 6c 65 22 3d 3d );else if("le"==
0002ded0: 3d 6e 29 66 6f 72 28 6f 3d 30 2c 69 3d 30 3b 6f =n)for(o=0,i=0;o
0002dee0: 3c 65 2e 6c 65 6e 67 74 68 3b 6f 2b 3d 33 29 61 <e.length;o+=3)a
0002def0: 3d 65 5b 6f 5d 7c 65 5b 6f 2b 31 5d 3c 3c 38 7c =e[o]|e[o+1]<<8|
0002df00: 65 5b 6f 2b 32 5d 3c 3c 31 36 2c 74 68 69 73 2e e[o+2]<<16,this.
0002df10: 77 6f 72 64 73 5b 69 5d 7c 3d 61 3c 3c 73 26 36 words[i]|=a<<s&6
0002df20: 37 31 30 38 38 36 33 2c 74 68 69 73 2e 77 6f 72 7108863,this.wor
0002df30: 64 73 5b 69 2b 31 5d 3d 61 3e 3e 3e 32 36 2d 73 ds[i+1]=a>>>26-s
0002df40: 26 36 37 31 30 38 38 36 33 2c 28 73 2b 3d 32 34 &67108863,(s+=24
0002df50: 29 3e 3d 32 36 26 26 28 73 2d 3d 32 36 2c 69 2b )>=26&&(s-=26,i+
0002df60: 2b 29 3b 72 65 74 75 72 6e 20 74 68 69 73 2e 5f +);return this._
0002df70: 73 74 72 69 70 28 29 7d 2c 69 2e 70 72 6f 74 6f strip()},i.proto
0002df80: 74 79 70 65 2e 5f 70 61 72 73 65 48 65 78 3d 66 type._parseHex=f
0002df90: 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 74 unction(e,t,n){t
0002dfa0: 68 69 73 2e 6c 65 6e 67 74 68 3d 4d 61 74 68 2e his.length=Math.
0002dfb0: 63 65 69 6c 28 28 65 2e 6c 65 6e 67 74 68 2d 74 ceil((e.length-t
0002dfc0: 29 2f 36 29 2c 74 68 69 73 2e 77 6f 72 64 73 3d )/6),this.words=
0002dfd0: 6e 65 77 20 41 72 72 61 79 28 74 68 69 73 2e 6c new Array(this.l
0002dfe0: 65 6e 67 74 68 29 3b 66 6f 72 28 76 61 72 20 72 ength);for(var r
0002dff0: 3d 30 3b 72 3c 74 68 69 73 2e 6c 65 6e 67 74 68 =0;r<this.length
0002e000: 3b 72 2b 2b 29 74 68 69 73 2e 77 6f 72 64 73 5b ;r++)this.words[
0002e010: 72 5d 3d 30 3b 76 61 72 20 6f 2c 69 3d 30 2c 61 r]=0;var o,i=0,a
0002e020: 3d 30 3b 69 66 28 22 62 65 22 3d 3d 3d 6e 29 66 =0;if("be"===n)f
0002e030: 6f 72 28 72 3d 65 2e 6c 65 6e 67 74 68 2d 31 3b or(r=e.length-1;
0002e040: 72 3e 3d 74 3b 72 2d 3d 32 29 6f 3d 6c 28 65 2c r>=t;r-=2)o=l(e,
0002e050: 74 2c 72 29 3c 3c 69 2c 74 68 69 73 2e 77 6f 72 t,r)<<i,this.wor
0002e060: 64 73 5b 61 5d 7c 3d 36 37 31 30 38 38 36 33 26 ds[a]|=67108863&
0002e070: 6f 2c 69 3e 3d 31 38 3f 28 69 2d 3d 31 38 2c 61 o,i>=18?(i-=18,a
0002e080: 2b 3d 31 2c 74 68 69 73 2e 77 6f 72 64 73 5b 61 +=1,this.words[a
0002e090: 5d 7c 3d 6f 3e 3e 3e 32 36 29 3a 69 2b 3d 38 3b ]|=o>>>26):i+=8;
0002e0a0: 65 6c 73 65 20 66 6f 72 28 72 3d 28 65 2e 6c 65 else for(r=(e.le
0002e0b0: 6e 67 74 68 2d 74 29 25 32 3d 3d 30 3f 74 2b 31 ngth-t)%2==0?t+1
0002e0c0: 3a 74 3b 72 3c 65 2e 6c 65 6e 67 74 68 3b 72 2b :t;r<e.length;r+
0002e0d0: 3d 32 29 6f 3d 6c 28 65 2c 74 2c 72 29 3c 3c 69 =2)o=l(e,t,r)<<i
0002e0e0: 2c 74 68 69 73 2e 77 6f 72 64 73 5b 61 5d 7c 3d ,this.words[a]|=
0002e0f0: 36 37 31 30 38 38 36 33 26 6f 2c 69 3e 3d 31 38 67108863&o,i>=18
0002e100: 3f 28 69 2d 3d 31 38 2c 61 2b 3d 31 2c 74 68 69 ?(i-=18,a+=1,thi
0002e110: 73 2e 77 6f 72 64 73 5b 61 5d 7c 3d 6f 3e 3e 3e s.words[a]|=o>>>
0002e120: 32 36 29 3a 69 2b 3d 38 3b 74 68 69 73 2e 5f 73 26):i+=8;this._s
0002e130: 74 72 69 70 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 trip()},i.protot
0002e140: 79 70 65 2e 5f 70 61 72 73 65 42 61 73 65 3d 66 ype._parseBase=f
0002e150: 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 74 unction(e,t,n){t
0002e160: 68 69 73 2e 77 6f 72 64 73 3d 5b 30 5d 2c 74 68 his.words=[0],th
0002e170: 69 73 2e 6c 65 6e 67 74 68 3d 31 3b 66 6f 72 28 is.length=1;for(
0002e180: 76 61 72 20 72 3d 30 2c 6f 3d 31 3b 6f 3c 3d 36 var r=0,o=1;o<=6
0002e190: 37 31 30 38 38 36 33 3b 6f 2a 3d 74 29 72 2b 2b 7108863;o*=t)r++
0002e1a0: 3b 72 2d 2d 2c 6f 3d 6f 2f 74 7c 30 3b 66 6f 72 ;r--,o=o/t|0;for
0002e1b0: 28 76 61 72 20 69 3d 65 2e 6c 65 6e 67 74 68 2d (var i=e.length-
0002e1c0: 6e 2c 61 3d 69 25 72 2c 73 3d 4d 61 74 68 2e 6d n,a=i%r,s=Math.m
0002e1d0: 69 6e 28 69 2c 69 2d 61 29 2b 6e 2c 6c 3d 30 2c in(i,i-a)+n,l=0,
0002e1e0: 75 3d 6e 3b 75 3c 73 3b 75 2b 3d 72 29 6c 3d 63 u=n;u<s;u+=r)l=c
0002e1f0: 28 65 2c 75 2c 75 2b 72 2c 74 29 2c 74 68 69 73 (e,u,u+r,t),this
0002e200: 2e 69 6d 75 6c 6e 28 6f 29 2c 74 68 69 73 2e 77 .imuln(o),this.w
0002e210: 6f 72 64 73 5b 30 5d 2b 6c 3c 36 37 31 30 38 38 ords[0]+l<671088
0002e220: 36 34 3f 74 68 69 73 2e 77 6f 72 64 73 5b 30 5d 64?this.words[0]
0002e230: 2b 3d 6c 3a 74 68 69 73 2e 5f 69 61 64 64 6e 28 +=l:this._iaddn(
0002e240: 6c 29 3b 69 66 28 30 21 3d 3d 61 29 7b 76 61 72 l);if(0!==a){var
0002e250: 20 64 3d 31 3b 66 6f 72 28 6c 3d 63 28 65 2c 75 d=1;for(l=c(e,u
0002e260: 2c 65 2e 6c 65 6e 67 74 68 2c 74 29 2c 75 3d 30 ,e.length,t),u=0
0002e270: 3b 75 3c 61 3b 75 2b 2b 29 64 2a 3d 74 3b 74 68 ;u<a;u++)d*=t;th
0002e280: 69 73 2e 69 6d 75 6c 6e 28 64 29 2c 74 68 69 73 is.imuln(d),this
0002e290: 2e 77 6f 72 64 73 5b 30 5d 2b 6c 3c 36 37 31 30 .words[0]+l<6710
0002e2a0: 38 38 36 34 3f 74 68 69 73 2e 77 6f 72 64 73 5b 8864?this.words[
0002e2b0: 30 5d 2b 3d 6c 3a 74 68 69 73 2e 5f 69 61 64 64 0]+=l:this._iadd
0002e2c0: 6e 28 6c 29 7d 74 68 69 73 2e 5f 73 74 72 69 70 n(l)}this._strip
0002e2d0: 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e ()},i.prototype.
0002e2e0: 63 6f 70 79 3d 66 75 6e 63 74 69 6f 6e 28 65 29 copy=function(e)
0002e2f0: 7b 65 2e 77 6f 72 64 73 3d 6e 65 77 20 41 72 72 {e.words=new Arr
0002e300: 61 79 28 74 68 69 73 2e 6c 65 6e 67 74 68 29 3b ay(this.length);
0002e310: 66 6f 72 28 76 61 72 20 74 3d 30 3b 74 3c 74 68 for(var t=0;t<th
0002e320: 69 73 2e 6c 65 6e 67 74 68 3b 74 2b 2b 29 65 2e is.length;t++)e.
0002e330: 77 6f 72 64 73 5b 74 5d 3d 74 68 69 73 2e 77 6f words[t]=this.wo
0002e340: 72 64 73 5b 74 5d 3b 65 2e 6c 65 6e 67 74 68 3d rds[t];e.length=
0002e350: 74 68 69 73 2e 6c 65 6e 67 74 68 2c 65 2e 6e 65 this.length,e.ne
0002e360: 67 61 74 69 76 65 3d 74 68 69 73 2e 6e 65 67 61 gative=this.nega
0002e370: 74 69 76 65 2c 65 2e 72 65 64 3d 74 68 69 73 2e tive,e.red=this.
0002e380: 72 65 64 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 red},i.prototype
0002e390: 2e 5f 6d 6f 76 65 3d 66 75 6e 63 74 69 6f 6e 28 ._move=function(
0002e3a0: 65 29 7b 75 28 65 2c 74 68 69 73 29 7d 2c 69 2e e){u(e,this)},i.
0002e3b0: 70 72 6f 74 6f 74 79 70 65 2e 63 6c 6f 6e 65 3d prototype.clone=
0002e3c0: 66 75 6e 63 74 69 6f 6e 28 29 7b 76 61 72 20 65 function(){var e
0002e3d0: 3d 6e 65 77 20 69 28 6e 75 6c 6c 29 3b 72 65 74 =new i(null);ret
0002e3e0: 75 72 6e 20 74 68 69 73 2e 63 6f 70 79 28 65 29 urn this.copy(e)
0002e3f0: 2c 65 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e ,e},i.prototype.
0002e400: 5f 65 78 70 61 6e 64 3d 66 75 6e 63 74 69 6f 6e _expand=function
0002e410: 28 65 29 7b 66 6f 72 28 3b 74 68 69 73 2e 6c 65 (e){for(;this.le
0002e420: 6e 67 74 68 3c 65 3b 29 74 68 69 73 2e 77 6f 72 ngth<e;)this.wor
0002e430: 64 73 5b 74 68 69 73 2e 6c 65 6e 67 74 68 2b 2b ds[this.length++
0002e440: 5d 3d 30 3b 72 65 74 75 72 6e 20 74 68 69 73 7d ]=0;return this}
0002e450: 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 5f 73 74 ,i.prototype._st
0002e460: 72 69 70 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 66 rip=function(){f
0002e470: 6f 72 28 3b 74 68 69 73 2e 6c 65 6e 67 74 68 3e or(;this.length>
0002e480: 31 26 26 30 3d 3d 3d 74 68 69 73 2e 77 6f 72 64 1&&0===this.word
0002e490: 73 5b 74 68 69 73 2e 6c 65 6e 67 74 68 2d 31 5d s[this.length-1]
0002e4a0: 3b 29 74 68 69 73 2e 6c 65 6e 67 74 68 2d 2d 3b ;)this.length--;
0002e4b0: 72 65 74 75 72 6e 20 74 68 69 73 2e 5f 6e 6f 72 return this._nor
0002e4c0: 6d 53 69 67 6e 28 29 7d 2c 69 2e 70 72 6f 74 6f mSign()},i.proto
0002e4d0: 74 79 70 65 2e 5f 6e 6f 72 6d 53 69 67 6e 3d 66 type._normSign=f
0002e4e0: 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e unction(){return
0002e4f0: 20 31 3d 3d 3d 74 68 69 73 2e 6c 65 6e 67 74 68 1===this.length
0002e500: 26 26 30 3d 3d 3d 74 68 69 73 2e 77 6f 72 64 73 &&0===this.words
0002e510: 5b 30 5d 26 26 28 74 68 69 73 2e 6e 65 67 61 74 [0]&&(this.negat
0002e520: 69 76 65 3d 30 29 2c 74 68 69 73 7d 2c 22 75 6e ive=0),this},"un
0002e530: 64 65 66 69 6e 65 64 22 21 3d 74 79 70 65 6f 66 defined"!=typeof
0002e540: 20 53 79 6d 62 6f 6c 26 26 22 66 75 6e 63 74 69 Symbol&&"functi
0002e550: 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 53 79 6d 62 on"==typeof Symb
0002e560: 6f 6c 2e 66 6f 72 29 74 72 79 7b 69 2e 70 72 6f ol.for)try{i.pro
0002e570: 74 6f 74 79 70 65 5b 53 79 6d 62 6f 6c 2e 66 6f totype[Symbol.fo
0002e580: 72 28 22 6e 6f 64 65 6a 73 2e 75 74 69 6c 2e 69 r("nodejs.util.i
0002e590: 6e 73 70 65 63 74 2e 63 75 73 74 6f 6d 22 29 5d nspect.custom")]
0002e5a0: 3d 64 7d 63 61 74 63 68 28 65 29 7b 69 2e 70 72 =d}catch(e){i.pr
0002e5b0: 6f 74 6f 74 79 70 65 2e 69 6e 73 70 65 63 74 3d ototype.inspect=
0002e5c0: 64 7d 65 6c 73 65 20 69 2e 70 72 6f 74 6f 74 79 d}else i.prototy
0002e5d0: 70 65 2e 69 6e 73 70 65 63 74 3d 64 3b 66 75 6e pe.inspect=d;fun
0002e5e0: 63 74 69 6f 6e 20 64 28 29 7b 72 65 74 75 72 6e ction d(){return
0002e5f0: 28 74 68 69 73 2e 72 65 64 3f 22 3c 42 4e 2d 52 (this.red?"<BN-R
0002e600: 3a 20 22 3a 22 3c 42 4e 3a 20 22 29 2b 74 68 69 : ":"<BN: ")+thi
0002e610: 73 2e 74 6f 53 74 72 69 6e 67 28 31 36 29 2b 22 s.toString(16)+"
0002e620: 3e 22 7d 76 61 72 20 70 3d 5b 22 22 2c 22 30 22 >"}var p=["","0"
0002e630: 2c 22 30 30 22 2c 22 30 30 30 22 2c 22 30 30 30 ,"00","000","000
0002e640: 30 22 2c 22 30 30 30 30 30 22 2c 22 30 30 30 30 0","00000","0000
0002e650: 30 30 22 2c 22 30 30 30 30 30 30 30 22 2c 22 30 00","0000000","0
0002e660: 30 30 30 30 30 30 30 22 2c 22 30 30 30 30 30 30 0000000","000000
0002e670: 30 30 30 22 2c 22 30 30 30 30 30 30 30 30 30 30 000","0000000000
0002e680: 22 2c 22 30 30 30 30 30 30 30 30 30 30 30 22 2c ","00000000000",
0002e690: 22 30 30 30 30 30 30 30 30 30 30 30 30 22 2c 22 "000000000000","
0002e6a0: 30 30 30 30 30 30 30 30 30 30 30 30 30 22 2c 22 0000000000000","
0002e6b0: 30 30 30 30 30 30 30 30 30 30 30 30 30 30 22 2c 00000000000000",
0002e6c0: 22 30 30 30 30 30 30 30 30 30 30 30 30 30 30 30 "000000000000000
0002e6d0: 22 2c 22 30 30 30 30 30 30 30 30 30 30 30 30 30 ","0000000000000
0002e6e0: 30 30 30 22 2c 22 30 30 30 30 30 30 30 30 30 30 000","0000000000
0002e6f0: 30 30 30 30 30 30 30 22 2c 22 30 30 30 30 30 30 0000000","000000
0002e700: 30 30 30 30 30 30 30 30 30 30 30 30 22 2c 22 30 000000000000","0
0002e710: 30 30 30 30 30 30 30 30 30 30 30 30 30 30 30 30 0000000000000000
0002e720: 30 30 22 2c 22 30 30 30 30 30 30 30 30 30 30 30 00","00000000000
0002e730: 30 30 30 30 30 30 30 30 30 22 2c 22 30 30 30 30 000000000","0000
0002e740: 30 30 30 30 30 30 30 30 30 30 30 30 30 30 30 30 0000000000000000
0002e750: 30 22 2c 22 30 30 30 30 30 30 30 30 30 30 30 30 0","000000000000
0002e760: 30 30 30 30 30 30 30 30 30 30 22 2c 22 30 30 30 0000000000","000
0002e770: 30 30 30 30 30 30 30 30 30 30 30 30 30 30 30 30 0000000000000000
0002e780: 30 30 30 30 22 2c 22 30 30 30 30 30 30 30 30 30 0000","000000000
0002e790: 30 30 30 30 30 30 30 30 30 30 30 30 30 30 30 22 000000000000000"
0002e7a0: 2c 22 30 30 30 30 30 30 30 30 30 30 30 30 30 30 ,"00000000000000
0002e7b0: 30 30 30 30 30 30 30 30 30 30 30 22 5d 2c 66 3d 00000000000"],f=
0002e7c0: 5b 30 2c 30 2c 32 35 2c 31 36 2c 31 32 2c 31 31 [0,0,25,16,12,11
0002e7d0: 2c 31 30 2c 39 2c 38 2c 38 2c 37 2c 37 2c 37 2c ,10,9,8,8,7,7,7,
0002e7e0: 37 2c 36 2c 36 2c 36 2c 36 2c 36 2c 36 2c 36 2c 7,6,6,6,6,6,6,6,
0002e7f0: 35 2c 35 2c 35 2c 35 2c 35 2c 35 2c 35 2c 35 2c 5,5,5,5,5,5,5,5,
0002e800: 35 2c 35 2c 35 2c 35 2c 35 2c 35 2c 35 2c 35 5d 5,5,5,5,5,5,5,5]
0002e810: 2c 6d 3d 5b 30 2c 30 2c 33 33 35 35 34 34 33 32 ,m=[0,0,33554432
0002e820: 2c 34 33 30 34 36 37 32 31 2c 31 36 37 37 37 32 ,43046721,167772
0002e830: 31 36 2c 34 38 38 32 38 31 32 35 2c 36 30 34 36 16,48828125,6046
0002e840: 36 31 37 36 2c 34 30 33 35 33 36 30 37 2c 31 36 6176,40353607,16
0002e850: 37 37 37 32 31 36 2c 34 33 30 34 36 37 32 31 2c 777216,43046721,
0002e860: 31 65 37 2c 31 39 34 38 37 31 37 31 2c 33 35 38 1e7,19487171,358
0002e870: 33 31 38 30 38 2c 36 32 37 34 38 35 31 37 2c 37 31808,62748517,7
0002e880: 35 32 39 35 33 36 2c 31 31 33 39 30 36 32 35 2c 529536,11390625,
0002e890: 31 36 37 37 37 32 31 36 2c 32 34 31 33 37 35 36 16777216,2413756
0002e8a0: 39 2c 33 34 30 31 32 32 32 34 2c 34 37 30 34 35 9,34012224,47045
0002e8b0: 38 38 31 2c 36 34 65 36 2c 34 30 38 34 31 30 31 881,64e6,4084101
0002e8c0: 2c 35 31 35 33 36 33 32 2c 36 34 33 36 33 34 33 ,5153632,6436343
0002e8d0: 2c 37 39 36 32 36 32 34 2c 39 37 36 35 36 32 35 ,7962624,9765625
0002e8e0: 2c 31 31 38 38 31 33 37 36 2c 31 34 33 34 38 39 ,11881376,143489
0002e8f0: 30 37 2c 31 37 32 31 30 33 36 38 2c 32 30 35 31 07,17210368,2051
0002e900: 31 31 34 39 2c 32 34 33 65 35 2c 32 38 36 32 39 1149,243e5,28629
0002e910: 31 35 31 2c 33 33 35 35 34 34 33 32 2c 33 39 31 151,33554432,391
0002e920: 33 35 33 39 33 2c 34 35 34 33 35 34 32 34 2c 35 35393,45435424,5
0002e930: 32 35 32 31 38 37 35 2c 36 30 34 36 36 31 37 36 2521875,60466176
0002e940: 5d 3b 69 2e 70 72 6f 74 6f 74 79 70 65 2e 74 6f ];i.prototype.to
0002e950: 53 74 72 69 6e 67 3d 66 75 6e 63 74 69 6f 6e 28 String=function(
0002e960: 65 2c 74 29 7b 76 61 72 20 6e 3b 69 66 28 74 3d e,t){var n;if(t=
0002e970: 30 7c 74 7c 7c 31 2c 31 36 3d 3d 3d 28 65 3d 65 0|t||1,16===(e=e
0002e980: 7c 7c 31 30 29 7c 7c 22 68 65 78 22 3d 3d 3d 65 ||10)||"hex"===e
0002e990: 29 7b 6e 3d 22 22 3b 66 6f 72 28 76 61 72 20 6f ){n="";for(var o
0002e9a0: 3d 30 2c 69 3d 30 2c 61 3d 30 3b 61 3c 74 68 69 =0,i=0,a=0;a<thi
0002e9b0: 73 2e 6c 65 6e 67 74 68 3b 61 2b 2b 29 7b 76 61 s.length;a++){va
0002e9c0: 72 20 73 3d 74 68 69 73 2e 77 6f 72 64 73 5b 61 r s=this.words[a
0002e9d0: 5d 2c 6c 3d 28 31 36 37 37 37 32 31 35 26 28 73 ],l=(16777215&(s
0002e9e0: 3c 3c 6f 7c 69 29 29 2e 74 6f 53 74 72 69 6e 67 <<o|i)).toString
0002e9f0: 28 31 36 29 3b 69 3d 73 3e 3e 3e 32 34 2d 6f 26 (16);i=s>>>24-o&
0002ea00: 31 36 37 37 37 32 31 35 2c 28 6f 2b 3d 32 29 3e 16777215,(o+=2)>
0002ea10: 3d 32 36 26 26 28 6f 2d 3d 32 36 2c 61 2d 2d 29 =26&&(o-=26,a--)
0002ea20: 2c 6e 3d 30 21 3d 3d 69 7c 7c 61 21 3d 3d 74 68 ,n=0!==i||a!==th
0002ea30: 69 73 2e 6c 65 6e 67 74 68 2d 31 3f 70 5b 36 2d is.length-1?p[6-
0002ea40: 6c 2e 6c 65 6e 67 74 68 5d 2b 6c 2b 6e 3a 6c 2b l.length]+l+n:l+
0002ea50: 6e 7d 66 6f 72 28 30 21 3d 3d 69 26 26 28 6e 3d n}for(0!==i&&(n=
0002ea60: 69 2e 74 6f 53 74 72 69 6e 67 28 31 36 29 2b 6e i.toString(16)+n
0002ea70: 29 3b 6e 2e 6c 65 6e 67 74 68 25 74 21 3d 30 3b );n.length%t!=0;
0002ea80: 29 6e 3d 22 30 22 2b 6e 3b 72 65 74 75 72 6e 20 )n="0"+n;return
0002ea90: 30 21 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 0!==this.negativ
0002eaa0: 65 26 26 28 6e 3d 22 2d 22 2b 6e 29 2c 6e 7d 69 e&&(n="-"+n),n}i
0002eab0: 66 28 65 3d 3d 3d 28 30 7c 65 29 26 26 65 3e 3d f(e===(0|e)&&e>=
0002eac0: 32 26 26 65 3c 3d 33 36 29 7b 76 61 72 20 63 3d 2&&e<=36){var c=
0002ead0: 66 5b 65 5d 2c 75 3d 6d 5b 65 5d 3b 6e 3d 22 22 f[e],u=m[e];n=""
0002eae0: 3b 76 61 72 20 64 3d 74 68 69 73 2e 63 6c 6f 6e ;var d=this.clon
0002eaf0: 65 28 29 3b 66 6f 72 28 64 2e 6e 65 67 61 74 69 e();for(d.negati
0002eb00: 76 65 3d 30 3b 21 64 2e 69 73 5a 65 72 6f 28 29 ve=0;!d.isZero()
0002eb10: 3b 29 7b 76 61 72 20 68 3d 64 2e 6d 6f 64 72 6e ;){var h=d.modrn
0002eb20: 28 75 29 2e 74 6f 53 74 72 69 6e 67 28 65 29 3b (u).toString(e);
0002eb30: 6e 3d 28 64 3d 64 2e 69 64 69 76 6e 28 75 29 29 n=(d=d.idivn(u))
0002eb40: 2e 69 73 5a 65 72 6f 28 29 3f 68 2b 6e 3a 70 5b .isZero()?h+n:p[
0002eb50: 63 2d 68 2e 6c 65 6e 67 74 68 5d 2b 68 2b 6e 7d c-h.length]+h+n}
0002eb60: 66 6f 72 28 74 68 69 73 2e 69 73 5a 65 72 6f 28 for(this.isZero(
0002eb70: 29 26 26 28 6e 3d 22 30 22 2b 6e 29 3b 6e 2e 6c )&&(n="0"+n);n.l
0002eb80: 65 6e 67 74 68 25 74 21 3d 30 3b 29 6e 3d 22 30 ength%t!=0;)n="0
0002eb90: 22 2b 6e 3b 72 65 74 75 72 6e 20 30 21 3d 3d 74 "+n;return 0!==t
0002eba0: 68 69 73 2e 6e 65 67 61 74 69 76 65 26 26 28 6e his.negative&&(n
0002ebb0: 3d 22 2d 22 2b 6e 29 2c 6e 7d 72 28 21 31 2c 22 ="-"+n),n}r(!1,"
0002ebc0: 42 61 73 65 20 73 68 6f 75 6c 64 20 62 65 20 62 Base should be b
0002ebd0: 65 74 77 65 65 6e 20 32 20 61 6e 64 20 33 36 22 etween 2 and 36"
0002ebe0: 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 74 )},i.prototype.t
0002ebf0: 6f 4e 75 6d 62 65 72 3d 66 75 6e 63 74 69 6f 6e oNumber=function
0002ec00: 28 29 7b 76 61 72 20 65 3d 74 68 69 73 2e 77 6f (){var e=this.wo
0002ec10: 72 64 73 5b 30 5d 3b 72 65 74 75 72 6e 20 32 3d rds[0];return 2=
0002ec20: 3d 3d 74 68 69 73 2e 6c 65 6e 67 74 68 3f 65 2b ==this.length?e+
0002ec30: 3d 36 37 31 30 38 38 36 34 2a 74 68 69 73 2e 77 =67108864*this.w
0002ec40: 6f 72 64 73 5b 31 5d 3a 33 3d 3d 3d 74 68 69 73 ords[1]:3===this
0002ec50: 2e 6c 65 6e 67 74 68 26 26 31 3d 3d 3d 74 68 69 .length&&1===thi
0002ec60: 73 2e 77 6f 72 64 73 5b 32 5d 3f 65 2b 3d 34 35 s.words[2]?e+=45
0002ec70: 30 33 35 39 39 36 32 37 33 37 30 34 39 36 2b 36 03599627370496+6
0002ec80: 37 31 30 38 38 36 34 2a 74 68 69 73 2e 77 6f 72 7108864*this.wor
0002ec90: 64 73 5b 31 5d 3a 74 68 69 73 2e 6c 65 6e 67 74 ds[1]:this.lengt
0002eca0: 68 3e 32 26 26 72 28 21 31 2c 22 4e 75 6d 62 65 h>2&&r(!1,"Numbe
0002ecb0: 72 20 63 61 6e 20 6f 6e 6c 79 20 73 61 66 65 6c r can only safel
0002ecc0: 79 20 73 74 6f 72 65 20 75 70 20 74 6f 20 35 33 y store up to 53
0002ecd0: 20 62 69 74 73 22 29 2c 30 21 3d 3d 74 68 69 73 bits"),0!==this
0002ece0: 2e 6e 65 67 61 74 69 76 65 3f 2d 65 3a 65 7d 2c .negative?-e:e},
0002ecf0: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 74 6f 4a 53 i.prototype.toJS
0002ed00: 4f 4e 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 ON=function(){re
0002ed10: 74 75 72 6e 20 74 68 69 73 2e 74 6f 53 74 72 69 turn this.toStri
0002ed20: 6e 67 28 31 36 2c 32 29 7d 2c 61 26 26 28 69 2e ng(16,2)},a&&(i.
0002ed30: 70 72 6f 74 6f 74 79 70 65 2e 74 6f 42 75 66 66 prototype.toBuff
0002ed40: 65 72 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 er=function(e,t)
0002ed50: 7b 72 65 74 75 72 6e 20 74 68 69 73 2e 74 6f 41 {return this.toA
0002ed60: 72 72 61 79 4c 69 6b 65 28 61 2c 65 2c 74 29 7d rrayLike(a,e,t)}
0002ed70: 29 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 74 6f ),i.prototype.to
0002ed80: 41 72 72 61 79 3d 66 75 6e 63 74 69 6f 6e 28 65 Array=function(e
0002ed90: 2c 74 29 7b 72 65 74 75 72 6e 20 74 68 69 73 2e ,t){return this.
0002eda0: 74 6f 41 72 72 61 79 4c 69 6b 65 28 41 72 72 61 toArrayLike(Arra
0002edb0: 79 2c 65 2c 74 29 7d 3b 66 75 6e 63 74 69 6f 6e y,e,t)};function
0002edc0: 20 68 28 65 2c 74 2c 6e 29 7b 6e 2e 6e 65 67 61 h(e,t,n){n.nega
0002edd0: 74 69 76 65 3d 74 2e 6e 65 67 61 74 69 76 65 5e tive=t.negative^
0002ede0: 65 2e 6e 65 67 61 74 69 76 65 3b 76 61 72 20 72 e.negative;var r
0002edf0: 3d 65 2e 6c 65 6e 67 74 68 2b 74 2e 6c 65 6e 67 =e.length+t.leng
0002ee00: 74 68 7c 30 3b 6e 2e 6c 65 6e 67 74 68 3d 72 2c th|0;n.length=r,
0002ee10: 72 3d 72 2d 31 7c 30 3b 76 61 72 20 6f 3d 30 7c r=r-1|0;var o=0|
0002ee20: 65 2e 77 6f 72 64 73 5b 30 5d 2c 69 3d 30 7c 74 e.words[0],i=0|t
0002ee30: 2e 77 6f 72 64 73 5b 30 5d 2c 61 3d 6f 2a 69 2c .words[0],a=o*i,
0002ee40: 73 3d 36 37 31 30 38 38 36 33 26 61 2c 6c 3d 61 s=67108863&a,l=a
0002ee50: 2f 36 37 31 30 38 38 36 34 7c 30 3b 6e 2e 77 6f /67108864|0;n.wo
0002ee60: 72 64 73 5b 30 5d 3d 73 3b 66 6f 72 28 76 61 72 rds[0]=s;for(var
0002ee70: 20 63 3d 31 3b 63 3c 72 3b 63 2b 2b 29 7b 66 6f c=1;c<r;c++){fo
0002ee80: 72 28 76 61 72 20 75 3d 6c 3e 3e 3e 32 36 2c 64 r(var u=l>>>26,d
0002ee90: 3d 36 37 31 30 38 38 36 33 26 6c 2c 70 3d 4d 61 =67108863&l,p=Ma
0002eea0: 74 68 2e 6d 69 6e 28 63 2c 74 2e 6c 65 6e 67 74 th.min(c,t.lengt
0002eeb0: 68 2d 31 29 2c 66 3d 4d 61 74 68 2e 6d 61 78 28 h-1),f=Math.max(
0002eec0: 30 2c 63 2d 65 2e 6c 65 6e 67 74 68 2b 31 29 3b 0,c-e.length+1);
0002eed0: 66 3c 3d 70 3b 66 2b 2b 29 7b 76 61 72 20 6d 3d f<=p;f++){var m=
0002eee0: 63 2d 66 7c 30 3b 75 2b 3d 28 61 3d 28 6f 3d 30 c-f|0;u+=(a=(o=0
0002eef0: 7c 65 2e 77 6f 72 64 73 5b 6d 5d 29 2a 28 69 3d |e.words[m])*(i=
0002ef00: 30 7c 74 2e 77 6f 72 64 73 5b 66 5d 29 2b 64 29 0|t.words[f])+d)
0002ef10: 2f 36 37 31 30 38 38 36 34 7c 30 2c 64 3d 36 37 /67108864|0,d=67
0002ef20: 31 30 38 38 36 33 26 61 7d 6e 2e 77 6f 72 64 73 108863&a}n.words
0002ef30: 5b 63 5d 3d 30 7c 64 2c 6c 3d 30 7c 75 7d 72 65 [c]=0|d,l=0|u}re
0002ef40: 74 75 72 6e 20 30 21 3d 3d 6c 3f 6e 2e 77 6f 72 turn 0!==l?n.wor
0002ef50: 64 73 5b 63 5d 3d 30 7c 6c 3a 6e 2e 6c 65 6e 67 ds[c]=0|l:n.leng
0002ef60: 74 68 2d 2d 2c 6e 2e 5f 73 74 72 69 70 28 29 7d th--,n._strip()}
0002ef70: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 74 6f 41 72 i.prototype.toAr
0002ef80: 72 61 79 4c 69 6b 65 3d 66 75 6e 63 74 69 6f 6e rayLike=function
0002ef90: 28 65 2c 74 2c 6e 29 7b 74 68 69 73 2e 5f 73 74 (e,t,n){this._st
0002efa0: 72 69 70 28 29 3b 76 61 72 20 6f 3d 74 68 69 73 rip();var o=this
0002efb0: 2e 62 79 74 65 4c 65 6e 67 74 68 28 29 2c 69 3d .byteLength(),i=
0002efc0: 6e 7c 7c 4d 61 74 68 2e 6d 61 78 28 31 2c 6f 29 n||Math.max(1,o)
0002efd0: 3b 72 28 6f 3c 3d 69 2c 22 62 79 74 65 20 61 72 ;r(o<=i,"byte ar
0002efe0: 72 61 79 20 6c 6f 6e 67 65 72 20 74 68 61 6e 20 ray longer than
0002eff0: 64 65 73 69 72 65 64 20 6c 65 6e 67 74 68 22 29 desired length")
0002f000: 2c 72 28 69 3e 30 2c 22 52 65 71 75 65 73 74 65 ,r(i>0,"Requeste
0002f010: 64 20 61 72 72 61 79 20 6c 65 6e 67 74 68 20 3c d array length <
0002f020: 3d 20 30 22 29 3b 76 61 72 20 61 3d 66 75 6e 63 = 0");var a=func
0002f030: 74 69 6f 6e 28 65 2c 74 29 7b 72 65 74 75 72 6e tion(e,t){return
0002f040: 20 65 2e 61 6c 6c 6f 63 55 6e 73 61 66 65 3f 65 e.allocUnsafe?e
0002f050: 2e 61 6c 6c 6f 63 55 6e 73 61 66 65 28 74 29 3a .allocUnsafe(t):
0002f060: 6e 65 77 20 65 28 74 29 7d 28 65 2c 69 29 3b 72 new e(t)}(e,i);r
0002f070: 65 74 75 72 6e 20 74 68 69 73 5b 22 5f 74 6f 41 eturn this["_toA
0002f080: 72 72 61 79 4c 69 6b 65 22 2b 28 22 6c 65 22 3d rrayLike"+("le"=
0002f090: 3d 3d 74 3f 22 4c 45 22 3a 22 42 45 22 29 5d 28 ==t?"LE":"BE")](
0002f0a0: 61 2c 6f 29 2c 61 7d 2c 69 2e 70 72 6f 74 6f 74 a,o),a},i.protot
0002f0b0: 79 70 65 2e 5f 74 6f 41 72 72 61 79 4c 69 6b 65 ype._toArrayLike
0002f0c0: 4c 45 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 LE=function(e,t)
0002f0d0: 7b 66 6f 72 28 76 61 72 20 6e 3d 30 2c 72 3d 30 {for(var n=0,r=0
0002f0e0: 2c 6f 3d 30 2c 69 3d 30 3b 6f 3c 74 68 69 73 2e ,o=0,i=0;o<this.
0002f0f0: 6c 65 6e 67 74 68 3b 6f 2b 2b 29 7b 76 61 72 20 length;o++){var
0002f100: 61 3d 74 68 69 73 2e 77 6f 72 64 73 5b 6f 5d 3c a=this.words[o]<
0002f110: 3c 69 7c 72 3b 65 5b 6e 2b 2b 5d 3d 32 35 35 26 <i|r;e[n++]=255&
0002f120: 61 2c 6e 3c 65 2e 6c 65 6e 67 74 68 26 26 28 65 a,n<e.length&&(e
0002f130: 5b 6e 2b 2b 5d 3d 61 3e 3e 38 26 32 35 35 29 2c [n++]=a>>8&255),
0002f140: 6e 3c 65 2e 6c 65 6e 67 74 68 26 26 28 65 5b 6e n<e.length&&(e[n
0002f150: 2b 2b 5d 3d 61 3e 3e 31 36 26 32 35 35 29 2c 36 ++]=a>>16&255),6
0002f160: 3d 3d 3d 69 3f 28 6e 3c 65 2e 6c 65 6e 67 74 68 ===i?(n<e.length
0002f170: 26 26 28 65 5b 6e 2b 2b 5d 3d 61 3e 3e 32 34 26 &&(e[n++]=a>>24&
0002f180: 32 35 35 29 2c 72 3d 30 2c 69 3d 30 29 3a 28 72 255),r=0,i=0):(r
0002f190: 3d 61 3e 3e 3e 32 34 2c 69 2b 3d 32 29 7d 69 66 =a>>>24,i+=2)}if
0002f1a0: 28 6e 3c 65 2e 6c 65 6e 67 74 68 29 66 6f 72 28 (n<e.length)for(
0002f1b0: 65 5b 6e 2b 2b 5d 3d 72 3b 6e 3c 65 2e 6c 65 6e e[n++]=r;n<e.len
0002f1c0: 67 74 68 3b 29 65 5b 6e 2b 2b 5d 3d 30 7d 2c 69 gth;)e[n++]=0},i
0002f1d0: 2e 70 72 6f 74 6f 74 79 70 65 2e 5f 74 6f 41 72 .prototype._toAr
0002f1e0: 72 61 79 4c 69 6b 65 42 45 3d 66 75 6e 63 74 69 rayLikeBE=functi
0002f1f0: 6f 6e 28 65 2c 74 29 7b 66 6f 72 28 76 61 72 20 on(e,t){for(var
0002f200: 6e 3d 65 2e 6c 65 6e 67 74 68 2d 31 2c 72 3d 30 n=e.length-1,r=0
0002f210: 2c 6f 3d 30 2c 69 3d 30 3b 6f 3c 74 68 69 73 2e ,o=0,i=0;o<this.
0002f220: 6c 65 6e 67 74 68 3b 6f 2b 2b 29 7b 76 61 72 20 length;o++){var
0002f230: 61 3d 74 68 69 73 2e 77 6f 72 64 73 5b 6f 5d 3c a=this.words[o]<
0002f240: 3c 69 7c 72 3b 65 5b 6e 2d 2d 5d 3d 32 35 35 26 <i|r;e[n--]=255&
0002f250: 61 2c 6e 3e 3d 30 26 26 28 65 5b 6e 2d 2d 5d 3d a,n>=0&&(e[n--]=
0002f260: 61 3e 3e 38 26 32 35 35 29 2c 6e 3e 3d 30 26 26 a>>8&255),n>=0&&
0002f270: 28 65 5b 6e 2d 2d 5d 3d 61 3e 3e 31 36 26 32 35 (e[n--]=a>>16&25
0002f280: 35 29 2c 36 3d 3d 3d 69 3f 28 6e 3e 3d 30 26 26 5),6===i?(n>=0&&
0002f290: 28 65 5b 6e 2d 2d 5d 3d 61 3e 3e 32 34 26 32 35 (e[n--]=a>>24&25
0002f2a0: 35 29 2c 72 3d 30 2c 69 3d 30 29 3a 28 72 3d 61 5),r=0,i=0):(r=a
0002f2b0: 3e 3e 3e 32 34 2c 69 2b 3d 32 29 7d 69 66 28 6e >>>24,i+=2)}if(n
0002f2c0: 3e 3d 30 29 66 6f 72 28 65 5b 6e 2d 2d 5d 3d 72 >=0)for(e[n--]=r
0002f2d0: 3b 6e 3e 3d 30 3b 29 65 5b 6e 2d 2d 5d 3d 30 7d ;n>=0;)e[n--]=0}
0002f2e0: 2c 4d 61 74 68 2e 63 6c 7a 33 32 3f 69 2e 70 72 ,Math.clz32?i.pr
0002f2f0: 6f 74 6f 74 79 70 65 2e 5f 63 6f 75 6e 74 42 69 ototype._countBi
0002f300: 74 73 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 ts=function(e){r
0002f310: 65 74 75 72 6e 20 33 32 2d 4d 61 74 68 2e 63 6c eturn 32-Math.cl
0002f320: 7a 33 32 28 65 29 7d 3a 69 2e 70 72 6f 74 6f 74 z32(e)}:i.protot
0002f330: 79 70 65 2e 5f 63 6f 75 6e 74 42 69 74 73 3d 66 ype._countBits=f
0002f340: 75 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 unction(e){var t
0002f350: 3d 65 2c 6e 3d 30 3b 72 65 74 75 72 6e 20 74 3e =e,n=0;return t>
0002f360: 3d 34 30 39 36 26 26 28 6e 2b 3d 31 33 2c 74 3e =4096&&(n+=13,t>
0002f370: 3e 3e 3d 31 33 29 2c 74 3e 3d 36 34 26 26 28 6e >>=13),t>=64&&(n
0002f380: 2b 3d 37 2c 74 3e 3e 3e 3d 37 29 2c 74 3e 3d 38 +=7,t>>>=7),t>=8
0002f390: 26 26 28 6e 2b 3d 34 2c 74 3e 3e 3e 3d 34 29 2c &&(n+=4,t>>>=4),
0002f3a0: 74 3e 3d 32 26 26 28 6e 2b 3d 32 2c 74 3e 3e 3e t>=2&&(n+=2,t>>>
0002f3b0: 3d 32 29 2c 6e 2b 74 7d 2c 69 2e 70 72 6f 74 6f =2),n+t},i.proto
0002f3c0: 74 79 70 65 2e 5f 7a 65 72 6f 42 69 74 73 3d 66 type._zeroBits=f
0002f3d0: 75 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 30 3d unction(e){if(0=
0002f3e0: 3d 3d 65 29 72 65 74 75 72 6e 20 32 36 3b 76 61 ==e)return 26;va
0002f3f0: 72 20 74 3d 65 2c 6e 3d 30 3b 72 65 74 75 72 6e r t=e,n=0;return
0002f400: 20 30 3d 3d 28 38 31 39 31 26 74 29 26 26 28 6e 0==(8191&t)&&(n
0002f410: 2b 3d 31 33 2c 74 3e 3e 3e 3d 31 33 29 2c 30 3d +=13,t>>>=13),0=
0002f420: 3d 28 31 32 37 26 74 29 26 26 28 6e 2b 3d 37 2c =(127&t)&&(n+=7,
0002f430: 74 3e 3e 3e 3d 37 29 2c 30 3d 3d 28 31 35 26 74 t>>>=7),0==(15&t
0002f440: 29 26 26 28 6e 2b 3d 34 2c 74 3e 3e 3e 3d 34 29 )&&(n+=4,t>>>=4)
0002f450: 2c 30 3d 3d 28 33 26 74 29 26 26 28 6e 2b 3d 32 ,0==(3&t)&&(n+=2
0002f460: 2c 74 3e 3e 3e 3d 32 29 2c 30 3d 3d 28 31 26 74 ,t>>>=2),0==(1&t
0002f470: 29 26 26 6e 2b 2b 2c 6e 7d 2c 69 2e 70 72 6f 74 )&&n++,n},i.prot
0002f480: 6f 74 79 70 65 2e 62 69 74 4c 65 6e 67 74 68 3d otype.bitLength=
0002f490: 66 75 6e 63 74 69 6f 6e 28 29 7b 76 61 72 20 65 function(){var e
0002f4a0: 3d 74 68 69 73 2e 77 6f 72 64 73 5b 74 68 69 73 =this.words[this
0002f4b0: 2e 6c 65 6e 67 74 68 2d 31 5d 2c 74 3d 74 68 69 .length-1],t=thi
0002f4c0: 73 2e 5f 63 6f 75 6e 74 42 69 74 73 28 65 29 3b s._countBits(e);
0002f4d0: 72 65 74 75 72 6e 20 32 36 2a 28 74 68 69 73 2e return 26*(this.
0002f4e0: 6c 65 6e 67 74 68 2d 31 29 2b 74 7d 2c 69 2e 70 length-1)+t},i.p
0002f4f0: 72 6f 74 6f 74 79 70 65 2e 7a 65 72 6f 42 69 74 rototype.zeroBit
0002f500: 73 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 69 66 28 s=function(){if(
0002f510: 74 68 69 73 2e 69 73 5a 65 72 6f 28 29 29 72 65 this.isZero())re
0002f520: 74 75 72 6e 20 30 3b 66 6f 72 28 76 61 72 20 65 turn 0;for(var e
0002f530: 3d 30 2c 74 3d 30 3b 74 3c 74 68 69 73 2e 6c 65 =0,t=0;t<this.le
0002f540: 6e 67 74 68 3b 74 2b 2b 29 7b 76 61 72 20 6e 3d ngth;t++){var n=
0002f550: 74 68 69 73 2e 5f 7a 65 72 6f 42 69 74 73 28 74 this._zeroBits(t
0002f560: 68 69 73 2e 77 6f 72 64 73 5b 74 5d 29 3b 69 66 his.words[t]);if
0002f570: 28 65 2b 3d 6e 2c 32 36 21 3d 3d 6e 29 62 72 65 (e+=n,26!==n)bre
0002f580: 61 6b 7d 72 65 74 75 72 6e 20 65 7d 2c 69 2e 70 ak}return e},i.p
0002f590: 72 6f 74 6f 74 79 70 65 2e 62 79 74 65 4c 65 6e rototype.byteLen
0002f5a0: 67 74 68 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 72 gth=function(){r
0002f5b0: 65 74 75 72 6e 20 4d 61 74 68 2e 63 65 69 6c 28 eturn Math.ceil(
0002f5c0: 74 68 69 73 2e 62 69 74 4c 65 6e 67 74 68 28 29 this.bitLength()
0002f5d0: 2f 38 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 /8)},i.prototype
0002f5e0: 2e 74 6f 54 77 6f 73 3d 66 75 6e 63 74 69 6f 6e .toTwos=function
0002f5f0: 28 65 29 7b 72 65 74 75 72 6e 20 30 21 3d 3d 74 (e){return 0!==t
0002f600: 68 69 73 2e 6e 65 67 61 74 69 76 65 3f 74 68 69 his.negative?thi
0002f610: 73 2e 61 62 73 28 29 2e 69 6e 6f 74 6e 28 65 29 s.abs().inotn(e)
0002f620: 2e 69 61 64 64 6e 28 31 29 3a 74 68 69 73 2e 63 .iaddn(1):this.c
0002f630: 6c 6f 6e 65 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 lone()},i.protot
0002f640: 79 70 65 2e 66 72 6f 6d 54 77 6f 73 3d 66 75 6e ype.fromTwos=fun
0002f650: 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 ction(e){return
0002f660: 74 68 69 73 2e 74 65 73 74 6e 28 65 2d 31 29 3f this.testn(e-1)?
0002f670: 74 68 69 73 2e 6e 6f 74 6e 28 65 29 2e 69 61 64 this.notn(e).iad
0002f680: 64 6e 28 31 29 2e 69 6e 65 67 28 29 3a 74 68 69 dn(1).ineg():thi
0002f690: 73 2e 63 6c 6f 6e 65 28 29 7d 2c 69 2e 70 72 6f s.clone()},i.pro
0002f6a0: 74 6f 74 79 70 65 2e 69 73 4e 65 67 3d 66 75 6e totype.isNeg=fun
0002f6b0: 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 30 ction(){return 0
0002f6c0: 21 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 65 !==this.negative
0002f6d0: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 6e 65 },i.prototype.ne
0002f6e0: 67 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 g=function(){ret
0002f6f0: 75 72 6e 20 74 68 69 73 2e 63 6c 6f 6e 65 28 29 urn this.clone()
0002f700: 2e 69 6e 65 67 28 29 7d 2c 69 2e 70 72 6f 74 6f .ineg()},i.proto
0002f710: 74 79 70 65 2e 69 6e 65 67 3d 66 75 6e 63 74 69 type.ineg=functi
0002f720: 6f 6e 28 29 7b 72 65 74 75 72 6e 20 74 68 69 73 on(){return this
0002f730: 2e 69 73 5a 65 72 6f 28 29 7c 7c 28 74 68 69 73 .isZero()||(this
0002f740: 2e 6e 65 67 61 74 69 76 65 5e 3d 31 29 2c 74 68 .negative^=1),th
0002f750: 69 73 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e is},i.prototype.
0002f760: 69 75 6f 72 3d 66 75 6e 63 74 69 6f 6e 28 65 29 iuor=function(e)
0002f770: 7b 66 6f 72 28 3b 74 68 69 73 2e 6c 65 6e 67 74 {for(;this.lengt
0002f780: 68 3c 65 2e 6c 65 6e 67 74 68 3b 29 74 68 69 73 h<e.length;)this
0002f790: 2e 77 6f 72 64 73 5b 74 68 69 73 2e 6c 65 6e 67 .words[this.leng
0002f7a0: 74 68 2b 2b 5d 3d 30 3b 66 6f 72 28 76 61 72 20 th++]=0;for(var
0002f7b0: 74 3d 30 3b 74 3c 65 2e 6c 65 6e 67 74 68 3b 74 t=0;t<e.length;t
0002f7c0: 2b 2b 29 74 68 69 73 2e 77 6f 72 64 73 5b 74 5d ++)this.words[t]
0002f7d0: 3d 74 68 69 73 2e 77 6f 72 64 73 5b 74 5d 7c 65 =this.words[t]|e
0002f7e0: 2e 77 6f 72 64 73 5b 74 5d 3b 72 65 74 75 72 6e .words[t];return
0002f7f0: 20 74 68 69 73 2e 5f 73 74 72 69 70 28 29 7d 2c this._strip()},
0002f800: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 69 6f 72 3d i.prototype.ior=
0002f810: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 function(e){retu
0002f820: 72 6e 20 72 28 30 3d 3d 28 74 68 69 73 2e 6e 65 rn r(0==(this.ne
0002f830: 67 61 74 69 76 65 7c 65 2e 6e 65 67 61 74 69 76 gative|e.negativ
0002f840: 65 29 29 2c 74 68 69 73 2e 69 75 6f 72 28 65 29 e)),this.iuor(e)
0002f850: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 6f 72 },i.prototype.or
0002f860: 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 =function(e){ret
0002f870: 75 72 6e 20 74 68 69 73 2e 6c 65 6e 67 74 68 3e urn this.length>
0002f880: 65 2e 6c 65 6e 67 74 68 3f 74 68 69 73 2e 63 6c e.length?this.cl
0002f890: 6f 6e 65 28 29 2e 69 6f 72 28 65 29 3a 65 2e 63 one().ior(e):e.c
0002f8a0: 6c 6f 6e 65 28 29 2e 69 6f 72 28 74 68 69 73 29 lone().ior(this)
0002f8b0: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 75 6f },i.prototype.uo
0002f8c0: 72 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 r=function(e){re
0002f8d0: 74 75 72 6e 20 74 68 69 73 2e 6c 65 6e 67 74 68 turn this.length
0002f8e0: 3e 65 2e 6c 65 6e 67 74 68 3f 74 68 69 73 2e 63 >e.length?this.c
0002f8f0: 6c 6f 6e 65 28 29 2e 69 75 6f 72 28 65 29 3a 65 lone().iuor(e):e
0002f900: 2e 63 6c 6f 6e 65 28 29 2e 69 75 6f 72 28 74 68 .clone().iuor(th
0002f910: 69 73 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 is)},i.prototype
0002f920: 2e 69 75 61 6e 64 3d 66 75 6e 63 74 69 6f 6e 28 .iuand=function(
0002f930: 65 29 7b 76 61 72 20 74 3b 74 3d 74 68 69 73 2e e){var t;t=this.
0002f940: 6c 65 6e 67 74 68 3e 65 2e 6c 65 6e 67 74 68 3f length>e.length?
0002f950: 65 3a 74 68 69 73 3b 66 6f 72 28 76 61 72 20 6e e:this;for(var n
0002f960: 3d 30 3b 6e 3c 74 2e 6c 65 6e 67 74 68 3b 6e 2b =0;n<t.length;n+
0002f970: 2b 29 74 68 69 73 2e 77 6f 72 64 73 5b 6e 5d 3d +)this.words[n]=
0002f980: 74 68 69 73 2e 77 6f 72 64 73 5b 6e 5d 26 65 2e this.words[n]&e.
0002f990: 77 6f 72 64 73 5b 6e 5d 3b 72 65 74 75 72 6e 20 words[n];return
0002f9a0: 74 68 69 73 2e 6c 65 6e 67 74 68 3d 74 2e 6c 65 this.length=t.le
0002f9b0: 6e 67 74 68 2c 74 68 69 73 2e 5f 73 74 72 69 70 ngth,this._strip
0002f9c0: 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e ()},i.prototype.
0002f9d0: 69 61 6e 64 3d 66 75 6e 63 74 69 6f 6e 28 65 29 iand=function(e)
0002f9e0: 7b 72 65 74 75 72 6e 20 72 28 30 3d 3d 28 74 68 {return r(0==(th
0002f9f0: 69 73 2e 6e 65 67 61 74 69 76 65 7c 65 2e 6e 65 is.negative|e.ne
0002fa00: 67 61 74 69 76 65 29 29 2c 74 68 69 73 2e 69 75 gative)),this.iu
0002fa10: 61 6e 64 28 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 and(e)},i.protot
0002fa20: 79 70 65 2e 61 6e 64 3d 66 75 6e 63 74 69 6f 6e ype.and=function
0002fa30: 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 73 2e (e){return this.
0002fa40: 6c 65 6e 67 74 68 3e 65 2e 6c 65 6e 67 74 68 3f length>e.length?
0002fa50: 74 68 69 73 2e 63 6c 6f 6e 65 28 29 2e 69 61 6e this.clone().ian
0002fa60: 64 28 65 29 3a 65 2e 63 6c 6f 6e 65 28 29 2e 69 d(e):e.clone().i
0002fa70: 61 6e 64 28 74 68 69 73 29 7d 2c 69 2e 70 72 6f and(this)},i.pro
0002fa80: 74 6f 74 79 70 65 2e 75 61 6e 64 3d 66 75 6e 63 totype.uand=func
0002fa90: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 tion(e){return t
0002faa0: 68 69 73 2e 6c 65 6e 67 74 68 3e 65 2e 6c 65 6e his.length>e.len
0002fab0: 67 74 68 3f 74 68 69 73 2e 63 6c 6f 6e 65 28 29 gth?this.clone()
0002fac0: 2e 69 75 61 6e 64 28 65 29 3a 65 2e 63 6c 6f 6e .iuand(e):e.clon
0002fad0: 65 28 29 2e 69 75 61 6e 64 28 74 68 69 73 29 7d e().iuand(this)}
0002fae0: 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 69 75 78 ,i.prototype.iux
0002faf0: 6f 72 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 76 or=function(e){v
0002fb00: 61 72 20 74 2c 6e 3b 74 68 69 73 2e 6c 65 6e 67 ar t,n;this.leng
0002fb10: 74 68 3e 65 2e 6c 65 6e 67 74 68 3f 28 74 3d 74 th>e.length?(t=t
0002fb20: 68 69 73 2c 6e 3d 65 29 3a 28 74 3d 65 2c 6e 3d his,n=e):(t=e,n=
0002fb30: 74 68 69 73 29 3b 66 6f 72 28 76 61 72 20 72 3d this);for(var r=
0002fb40: 30 3b 72 3c 6e 2e 6c 65 6e 67 74 68 3b 72 2b 2b 0;r<n.length;r++
0002fb50: 29 74 68 69 73 2e 77 6f 72 64 73 5b 72 5d 3d 74 )this.words[r]=t
0002fb60: 2e 77 6f 72 64 73 5b 72 5d 5e 6e 2e 77 6f 72 64 .words[r]^n.word
0002fb70: 73 5b 72 5d 3b 69 66 28 74 68 69 73 21 3d 3d 74 s[r];if(this!==t
0002fb80: 29 66 6f 72 28 3b 72 3c 74 2e 6c 65 6e 67 74 68 )for(;r<t.length
0002fb90: 3b 72 2b 2b 29 74 68 69 73 2e 77 6f 72 64 73 5b ;r++)this.words[
0002fba0: 72 5d 3d 74 2e 77 6f 72 64 73 5b 72 5d 3b 72 65 r]=t.words[r];re
0002fbb0: 74 75 72 6e 20 74 68 69 73 2e 6c 65 6e 67 74 68 turn this.length
0002fbc0: 3d 74 2e 6c 65 6e 67 74 68 2c 74 68 69 73 2e 5f =t.length,this._
0002fbd0: 73 74 72 69 70 28 29 7d 2c 69 2e 70 72 6f 74 6f strip()},i.proto
0002fbe0: 74 79 70 65 2e 69 78 6f 72 3d 66 75 6e 63 74 69 type.ixor=functi
0002fbf0: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 72 28 30 on(e){return r(0
0002fc00: 3d 3d 28 74 68 69 73 2e 6e 65 67 61 74 69 76 65 ==(this.negative
0002fc10: 7c 65 2e 6e 65 67 61 74 69 76 65 29 29 2c 74 68 |e.negative)),th
0002fc20: 69 73 2e 69 75 78 6f 72 28 65 29 7d 2c 69 2e 70 is.iuxor(e)},i.p
0002fc30: 72 6f 74 6f 74 79 70 65 2e 78 6f 72 3d 66 75 6e rototype.xor=fun
0002fc40: 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 ction(e){return
0002fc50: 74 68 69 73 2e 6c 65 6e 67 74 68 3e 65 2e 6c 65 this.length>e.le
0002fc60: 6e 67 74 68 3f 74 68 69 73 2e 63 6c 6f 6e 65 28 ngth?this.clone(
0002fc70: 29 2e 69 78 6f 72 28 65 29 3a 65 2e 63 6c 6f 6e ).ixor(e):e.clon
0002fc80: 65 28 29 2e 69 78 6f 72 28 74 68 69 73 29 7d 2c e().ixor(this)},
0002fc90: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 75 78 6f 72 i.prototype.uxor
0002fca0: 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 =function(e){ret
0002fcb0: 75 72 6e 20 74 68 69 73 2e 6c 65 6e 67 74 68 3e urn this.length>
0002fcc0: 65 2e 6c 65 6e 67 74 68 3f 74 68 69 73 2e 63 6c e.length?this.cl
0002fcd0: 6f 6e 65 28 29 2e 69 75 78 6f 72 28 65 29 3a 65 one().iuxor(e):e
0002fce0: 2e 63 6c 6f 6e 65 28 29 2e 69 75 78 6f 72 28 74 .clone().iuxor(t
0002fcf0: 68 69 73 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 his)},i.prototyp
0002fd00: 65 2e 69 6e 6f 74 6e 3d 66 75 6e 63 74 69 6f 6e e.inotn=function
0002fd10: 28 65 29 7b 72 28 22 6e 75 6d 62 65 72 22 3d 3d (e){r("number"==
0002fd20: 74 79 70 65 6f 66 20 65 26 26 65 3e 3d 30 29 3b typeof e&&e>=0);
0002fd30: 76 61 72 20 74 3d 30 7c 4d 61 74 68 2e 63 65 69 var t=0|Math.cei
0002fd40: 6c 28 65 2f 32 36 29 2c 6e 3d 65 25 32 36 3b 74 l(e/26),n=e%26;t
0002fd50: 68 69 73 2e 5f 65 78 70 61 6e 64 28 74 29 2c 6e his._expand(t),n
0002fd60: 3e 30 26 26 74 2d 2d 3b 66 6f 72 28 76 61 72 20 >0&&t--;for(var
0002fd70: 6f 3d 30 3b 6f 3c 74 3b 6f 2b 2b 29 74 68 69 73 o=0;o<t;o++)this
0002fd80: 2e 77 6f 72 64 73 5b 6f 5d 3d 36 37 31 30 38 38 .words[o]=671088
0002fd90: 36 33 26 7e 74 68 69 73 2e 77 6f 72 64 73 5b 6f 63&~this.words[o
0002fda0: 5d 3b 72 65 74 75 72 6e 20 6e 3e 30 26 26 28 74 ];return n>0&&(t
0002fdb0: 68 69 73 2e 77 6f 72 64 73 5b 6f 5d 3d 7e 74 68 his.words[o]=~th
0002fdc0: 69 73 2e 77 6f 72 64 73 5b 6f 5d 26 36 37 31 30 is.words[o]&6710
0002fdd0: 38 38 36 33 3e 3e 32 36 2d 6e 29 2c 74 68 69 73 8863>>26-n),this
0002fde0: 2e 5f 73 74 72 69 70 28 29 7d 2c 69 2e 70 72 6f ._strip()},i.pro
0002fdf0: 74 6f 74 79 70 65 2e 6e 6f 74 6e 3d 66 75 6e 63 totype.notn=func
0002fe00: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 tion(e){return t
0002fe10: 68 69 73 2e 63 6c 6f 6e 65 28 29 2e 69 6e 6f 74 his.clone().inot
0002fe20: 6e 28 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 n(e)},i.prototyp
0002fe30: 65 2e 73 65 74 6e 3d 66 75 6e 63 74 69 6f 6e 28 e.setn=function(
0002fe40: 65 2c 74 29 7b 72 28 22 6e 75 6d 62 65 72 22 3d e,t){r("number"=
0002fe50: 3d 74 79 70 65 6f 66 20 65 26 26 65 3e 3d 30 29 =typeof e&&e>=0)
0002fe60: 3b 76 61 72 20 6e 3d 65 2f 32 36 7c 30 2c 6f 3d ;var n=e/26|0,o=
0002fe70: 65 25 32 36 3b 72 65 74 75 72 6e 20 74 68 69 73 e%26;return this
0002fe80: 2e 5f 65 78 70 61 6e 64 28 6e 2b 31 29 2c 74 68 ._expand(n+1),th
0002fe90: 69 73 2e 77 6f 72 64 73 5b 6e 5d 3d 74 3f 74 68 is.words[n]=t?th
0002fea0: 69 73 2e 77 6f 72 64 73 5b 6e 5d 7c 31 3c 3c 6f is.words[n]|1<<o
0002feb0: 3a 74 68 69 73 2e 77 6f 72 64 73 5b 6e 5d 26 7e :this.words[n]&~
0002fec0: 28 31 3c 3c 6f 29 2c 74 68 69 73 2e 5f 73 74 72 (1<<o),this._str
0002fed0: 69 70 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 ip()},i.prototyp
0002fee0: 65 2e 69 61 64 64 3d 66 75 6e 63 74 69 6f 6e 28 e.iadd=function(
0002fef0: 65 29 7b 76 61 72 20 74 2c 6e 2c 72 3b 69 66 28 e){var t,n,r;if(
0002ff00: 30 21 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 0!==this.negativ
0002ff10: 65 26 26 30 3d 3d 3d 65 2e 6e 65 67 61 74 69 76 e&&0===e.negativ
0002ff20: 65 29 72 65 74 75 72 6e 20 74 68 69 73 2e 6e 65 e)return this.ne
0002ff30: 67 61 74 69 76 65 3d 30 2c 74 3d 74 68 69 73 2e gative=0,t=this.
0002ff40: 69 73 75 62 28 65 29 2c 74 68 69 73 2e 6e 65 67 isub(e),this.neg
0002ff50: 61 74 69 76 65 5e 3d 31 2c 74 68 69 73 2e 5f 6e ative^=1,this._n
0002ff60: 6f 72 6d 53 69 67 6e 28 29 3b 69 66 28 30 3d 3d ormSign();if(0==
0002ff70: 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 65 26 26 =this.negative&&
0002ff80: 30 21 3d 3d 65 2e 6e 65 67 61 74 69 76 65 29 72 0!==e.negative)r
0002ff90: 65 74 75 72 6e 20 65 2e 6e 65 67 61 74 69 76 65 eturn e.negative
0002ffa0: 3d 30 2c 74 3d 74 68 69 73 2e 69 73 75 62 28 65 =0,t=this.isub(e
0002ffb0: 29 2c 65 2e 6e 65 67 61 74 69 76 65 3d 31 2c 74 ),e.negative=1,t
0002ffc0: 2e 5f 6e 6f 72 6d 53 69 67 6e 28 29 3b 74 68 69 ._normSign();thi
0002ffd0: 73 2e 6c 65 6e 67 74 68 3e 65 2e 6c 65 6e 67 74 s.length>e.lengt
0002ffe0: 68 3f 28 6e 3d 74 68 69 73 2c 72 3d 65 29 3a 28 h?(n=this,r=e):(
0002fff0: 6e 3d 65 2c 72 3d 74 68 69 73 29 3b 66 6f 72 28 n=e,r=this);for(
00030000: 76 61 72 20 6f 3d 30 2c 69 3d 30 3b 69 3c 72 2e var o=0,i=0;i<r.
00030010: 6c 65 6e 67 74 68 3b 69 2b 2b 29 74 3d 28 30 7c length;i++)t=(0|
00030020: 6e 2e 77 6f 72 64 73 5b 69 5d 29 2b 28 30 7c 72 n.words[i])+(0|r
00030030: 2e 77 6f 72 64 73 5b 69 5d 29 2b 6f 2c 74 68 69 .words[i])+o,thi
00030040: 73 2e 77 6f 72 64 73 5b 69 5d 3d 36 37 31 30 38 s.words[i]=67108
00030050: 38 36 33 26 74 2c 6f 3d 74 3e 3e 3e 32 36 3b 66 863&t,o=t>>>26;f
00030060: 6f 72 28 3b 30 21 3d 3d 6f 26 26 69 3c 6e 2e 6c or(;0!==o&&i<n.l
00030070: 65 6e 67 74 68 3b 69 2b 2b 29 74 3d 28 30 7c 6e ength;i++)t=(0|n
00030080: 2e 77 6f 72 64 73 5b 69 5d 29 2b 6f 2c 74 68 69 .words[i])+o,thi
00030090: 73 2e 77 6f 72 64 73 5b 69 5d 3d 36 37 31 30 38 s.words[i]=67108
000300a0: 38 36 33 26 74 2c 6f 3d 74 3e 3e 3e 32 36 3b 69 863&t,o=t>>>26;i
000300b0: 66 28 74 68 69 73 2e 6c 65 6e 67 74 68 3d 6e 2e f(this.length=n.
000300c0: 6c 65 6e 67 74 68 2c 30 21 3d 3d 6f 29 74 68 69 length,0!==o)thi
000300d0: 73 2e 77 6f 72 64 73 5b 74 68 69 73 2e 6c 65 6e s.words[this.len
000300e0: 67 74 68 5d 3d 6f 2c 74 68 69 73 2e 6c 65 6e 67 gth]=o,this.leng
000300f0: 74 68 2b 2b 3b 65 6c 73 65 20 69 66 28 6e 21 3d th++;else if(n!=
00030100: 3d 74 68 69 73 29 66 6f 72 28 3b 69 3c 6e 2e 6c =this)for(;i<n.l
00030110: 65 6e 67 74 68 3b 69 2b 2b 29 74 68 69 73 2e 77 ength;i++)this.w
00030120: 6f 72 64 73 5b 69 5d 3d 6e 2e 77 6f 72 64 73 5b ords[i]=n.words[
00030130: 69 5d 3b 72 65 74 75 72 6e 20 74 68 69 73 7d 2c i];return this},
00030140: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 61 64 64 3d i.prototype.add=
00030150: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 function(e){var
00030160: 74 3b 72 65 74 75 72 6e 20 30 21 3d 3d 65 2e 6e t;return 0!==e.n
00030170: 65 67 61 74 69 76 65 26 26 30 3d 3d 3d 74 68 69 egative&&0===thi
00030180: 73 2e 6e 65 67 61 74 69 76 65 3f 28 65 2e 6e 65 s.negative?(e.ne
00030190: 67 61 74 69 76 65 3d 30 2c 74 3d 74 68 69 73 2e gative=0,t=this.
000301a0: 73 75 62 28 65 29 2c 65 2e 6e 65 67 61 74 69 76 sub(e),e.negativ
000301b0: 65 5e 3d 31 2c 74 29 3a 30 3d 3d 3d 65 2e 6e 65 e^=1,t):0===e.ne
000301c0: 67 61 74 69 76 65 26 26 30 21 3d 3d 74 68 69 73 gative&&0!==this
000301d0: 2e 6e 65 67 61 74 69 76 65 3f 28 74 68 69 73 2e .negative?(this.
000301e0: 6e 65 67 61 74 69 76 65 3d 30 2c 74 3d 65 2e 73 negative=0,t=e.s
000301f0: 75 62 28 74 68 69 73 29 2c 74 68 69 73 2e 6e 65 ub(this),this.ne
00030200: 67 61 74 69 76 65 3d 31 2c 74 29 3a 74 68 69 73 gative=1,t):this
00030210: 2e 6c 65 6e 67 74 68 3e 65 2e 6c 65 6e 67 74 68 .length>e.length
00030220: 3f 74 68 69 73 2e 63 6c 6f 6e 65 28 29 2e 69 61 ?this.clone().ia
00030230: 64 64 28 65 29 3a 65 2e 63 6c 6f 6e 65 28 29 2e dd(e):e.clone().
00030240: 69 61 64 64 28 74 68 69 73 29 7d 2c 69 2e 70 72 iadd(this)},i.pr
00030250: 6f 74 6f 74 79 70 65 2e 69 73 75 62 3d 66 75 6e ototype.isub=fun
00030260: 63 74 69 6f 6e 28 65 29 7b 69 66 28 30 21 3d 3d ction(e){if(0!==
00030270: 65 2e 6e 65 67 61 74 69 76 65 29 7b 65 2e 6e 65 e.negative){e.ne
00030280: 67 61 74 69 76 65 3d 30 3b 76 61 72 20 74 3d 74 gative=0;var t=t
00030290: 68 69 73 2e 69 61 64 64 28 65 29 3b 72 65 74 75 his.iadd(e);retu
000302a0: 72 6e 20 65 2e 6e 65 67 61 74 69 76 65 3d 31 2c rn e.negative=1,
000302b0: 74 2e 5f 6e 6f 72 6d 53 69 67 6e 28 29 7d 69 66 t._normSign()}if
000302c0: 28 30 21 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 (0!==this.negati
000302d0: 76 65 29 72 65 74 75 72 6e 20 74 68 69 73 2e 6e ve)return this.n
000302e0: 65 67 61 74 69 76 65 3d 30 2c 74 68 69 73 2e 69 egative=0,this.i
000302f0: 61 64 64 28 65 29 2c 74 68 69 73 2e 6e 65 67 61 add(e),this.nega
00030300: 74 69 76 65 3d 31 2c 74 68 69 73 2e 5f 6e 6f 72 tive=1,this._nor
00030310: 6d 53 69 67 6e 28 29 3b 76 61 72 20 6e 2c 72 2c mSign();var n,r,
00030320: 6f 3d 74 68 69 73 2e 63 6d 70 28 65 29 3b 69 66 o=this.cmp(e);if
00030330: 28 30 3d 3d 3d 6f 29 72 65 74 75 72 6e 20 74 68 (0===o)return th
00030340: 69 73 2e 6e 65 67 61 74 69 76 65 3d 30 2c 74 68 is.negative=0,th
00030350: 69 73 2e 6c 65 6e 67 74 68 3d 31 2c 74 68 69 73 is.length=1,this
00030360: 2e 77 6f 72 64 73 5b 30 5d 3d 30 2c 74 68 69 73 .words[0]=0,this
00030370: 3b 6f 3e 30 3f 28 6e 3d 74 68 69 73 2c 72 3d 65 ;o>0?(n=this,r=e
00030380: 29 3a 28 6e 3d 65 2c 72 3d 74 68 69 73 29 3b 66 ):(n=e,r=this);f
00030390: 6f 72 28 76 61 72 20 69 3d 30 2c 61 3d 30 3b 61 or(var i=0,a=0;a
000303a0: 3c 72 2e 6c 65 6e 67 74 68 3b 61 2b 2b 29 69 3d <r.length;a++)i=
000303b0: 28 74 3d 28 30 7c 6e 2e 77 6f 72 64 73 5b 61 5d (t=(0|n.words[a]
000303c0: 29 2d 28 30 7c 72 2e 77 6f 72 64 73 5b 61 5d 29 )-(0|r.words[a])
000303d0: 2b 69 29 3e 3e 32 36 2c 74 68 69 73 2e 77 6f 72 +i)>>26,this.wor
000303e0: 64 73 5b 61 5d 3d 36 37 31 30 38 38 36 33 26 74 ds[a]=67108863&t
000303f0: 3b 66 6f 72 28 3b 30 21 3d 3d 69 26 26 61 3c 6e ;for(;0!==i&&a<n
00030400: 2e 6c 65 6e 67 74 68 3b 61 2b 2b 29 69 3d 28 74 .length;a++)i=(t
00030410: 3d 28 30 7c 6e 2e 77 6f 72 64 73 5b 61 5d 29 2b =(0|n.words[a])+
00030420: 69 29 3e 3e 32 36 2c 74 68 69 73 2e 77 6f 72 64 i)>>26,this.word
00030430: 73 5b 61 5d 3d 36 37 31 30 38 38 36 33 26 74 3b s[a]=67108863&t;
00030440: 69 66 28 30 3d 3d 3d 69 26 26 61 3c 6e 2e 6c 65 if(0===i&&a<n.le
00030450: 6e 67 74 68 26 26 6e 21 3d 3d 74 68 69 73 29 66 ngth&&n!==this)f
00030460: 6f 72 28 3b 61 3c 6e 2e 6c 65 6e 67 74 68 3b 61 or(;a<n.length;a
00030470: 2b 2b 29 74 68 69 73 2e 77 6f 72 64 73 5b 61 5d ++)this.words[a]
00030480: 3d 6e 2e 77 6f 72 64 73 5b 61 5d 3b 72 65 74 75 =n.words[a];retu
00030490: 72 6e 20 74 68 69 73 2e 6c 65 6e 67 74 68 3d 4d rn this.length=M
000304a0: 61 74 68 2e 6d 61 78 28 74 68 69 73 2e 6c 65 6e ath.max(this.len
000304b0: 67 74 68 2c 61 29 2c 6e 21 3d 3d 74 68 69 73 26 gth,a),n!==this&
000304c0: 26 28 74 68 69 73 2e 6e 65 67 61 74 69 76 65 3d &(this.negative=
000304d0: 31 29 2c 74 68 69 73 2e 5f 73 74 72 69 70 28 29 1),this._strip()
000304e0: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 73 75 },i.prototype.su
000304f0: 62 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 b=function(e){re
00030500: 74 75 72 6e 20 74 68 69 73 2e 63 6c 6f 6e 65 28 turn this.clone(
00030510: 29 2e 69 73 75 62 28 65 29 7d 3b 76 61 72 20 67 ).isub(e)};var g
00030520: 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 =function(e,t,n)
00030530: 7b 76 61 72 20 72 2c 6f 2c 69 2c 61 3d 65 2e 77 {var r,o,i,a=e.w
00030540: 6f 72 64 73 2c 73 3d 74 2e 77 6f 72 64 73 2c 6c ords,s=t.words,l
00030550: 3d 6e 2e 77 6f 72 64 73 2c 63 3d 30 2c 75 3d 30 =n.words,c=0,u=0
00030560: 7c 61 5b 30 5d 2c 64 3d 38 31 39 31 26 75 2c 70 |a[0],d=8191&u,p
00030570: 3d 75 3e 3e 3e 31 33 2c 66 3d 30 7c 61 5b 31 5d =u>>>13,f=0|a[1]
00030580: 2c 6d 3d 38 31 39 31 26 66 2c 68 3d 66 3e 3e 3e ,m=8191&f,h=f>>>
00030590: 31 33 2c 67 3d 30 7c 61 5b 32 5d 2c 62 3d 38 31 13,g=0|a[2],b=81
000305a0: 39 31 26 67 2c 76 3d 67 3e 3e 3e 31 33 2c 79 3d 91&g,v=g>>>13,y=
000305b0: 30 7c 61 5b 33 5d 2c 45 3d 38 31 39 31 26 79 2c 0|a[3],E=8191&y,
000305c0: 5f 3d 79 3e 3e 3e 31 33 2c 53 3d 30 7c 61 5b 34 _=y>>>13,S=0|a[4
000305d0: 5d 2c 77 3d 38 31 39 31 26 53 2c 54 3d 53 3e 3e ],w=8191&S,T=S>>
000305e0: 3e 31 33 2c 78 3d 30 7c 61 5b 35 5d 2c 41 3d 38 >13,x=0|a[5],A=8
000305f0: 31 39 31 26 78 2c 43 3d 78 3e 3e 3e 31 33 2c 52 191&x,C=x>>>13,R
00030600: 3d 30 7c 61 5b 36 5d 2c 6b 3d 38 31 39 31 26 52 =0|a[6],k=8191&R
00030610: 2c 4f 3d 52 3e 3e 3e 31 33 2c 4e 3d 30 7c 61 5b ,O=R>>>13,N=0|a[
00030620: 37 5d 2c 49 3d 38 31 39 31 26 4e 2c 4d 3d 4e 3e 7],I=8191&N,M=N>
00030630: 3e 3e 31 33 2c 50 3d 30 7c 61 5b 38 5d 2c 44 3d >>13,P=0|a[8],D=
00030640: 38 31 39 31 26 50 2c 4c 3d 50 3e 3e 3e 31 33 2c 8191&P,L=P>>>13,
00030650: 46 3d 30 7c 61 5b 39 5d 2c 55 3d 38 31 39 31 26 F=0|a[9],U=8191&
00030660: 46 2c 42 3d 46 3e 3e 3e 31 33 2c 6a 3d 30 7c 73 F,B=F>>>13,j=0|s
00030670: 5b 30 5d 2c 7a 3d 38 31 39 31 26 6a 2c 47 3d 6a [0],z=8191&j,G=j
00030680: 3e 3e 3e 31 33 2c 48 3d 30 7c 73 5b 31 5d 2c 56 >>>13,H=0|s[1],V
00030690: 3d 38 31 39 31 26 48 2c 71 3d 48 3e 3e 3e 31 33 =8191&H,q=H>>>13
000306a0: 2c 57 3d 30 7c 73 5b 32 5d 2c 59 3d 38 31 39 31 ,W=0|s[2],Y=8191
000306b0: 26 57 2c 4b 3d 57 3e 3e 3e 31 33 2c 5a 3d 30 7c &W,K=W>>>13,Z=0|
000306c0: 73 5b 33 5d 2c 58 3d 38 31 39 31 26 5a 2c 51 3d s[3],X=8191&Z,Q=
000306d0: 5a 3e 3e 3e 31 33 2c 4a 3d 30 7c 73 5b 34 5d 2c Z>>>13,J=0|s[4],
000306e0: 24 3d 38 31 39 31 26 4a 2c 65 65 3d 4a 3e 3e 3e $=8191&J,ee=J>>>
000306f0: 31 33 2c 74 65 3d 30 7c 73 5b 35 5d 2c 6e 65 3d 13,te=0|s[5],ne=
00030700: 38 31 39 31 26 74 65 2c 72 65 3d 74 65 3e 3e 3e 8191&te,re=te>>>
00030710: 31 33 2c 6f 65 3d 30 7c 73 5b 36 5d 2c 69 65 3d 13,oe=0|s[6],ie=
00030720: 38 31 39 31 26 6f 65 2c 61 65 3d 6f 65 3e 3e 3e 8191&oe,ae=oe>>>
00030730: 31 33 2c 73 65 3d 30 7c 73 5b 37 5d 2c 6c 65 3d 13,se=0|s[7],le=
00030740: 38 31 39 31 26 73 65 2c 63 65 3d 73 65 3e 3e 3e 8191&se,ce=se>>>
00030750: 31 33 2c 75 65 3d 30 7c 73 5b 38 5d 2c 64 65 3d 13,ue=0|s[8],de=
00030760: 38 31 39 31 26 75 65 2c 70 65 3d 75 65 3e 3e 3e 8191&ue,pe=ue>>>
00030770: 31 33 2c 66 65 3d 30 7c 73 5b 39 5d 2c 6d 65 3d 13,fe=0|s[9],me=
00030780: 38 31 39 31 26 66 65 2c 68 65 3d 66 65 3e 3e 3e 8191&fe,he=fe>>>
00030790: 31 33 3b 6e 2e 6e 65 67 61 74 69 76 65 3d 65 2e 13;n.negative=e.
000307a0: 6e 65 67 61 74 69 76 65 5e 74 2e 6e 65 67 61 74 negative^t.negat
000307b0: 69 76 65 2c 6e 2e 6c 65 6e 67 74 68 3d 31 39 3b ive,n.length=19;
000307c0: 76 61 72 20 67 65 3d 28 63 2b 28 72 3d 4d 61 74 var ge=(c+(r=Mat
000307d0: 68 2e 69 6d 75 6c 28 64 2c 7a 29 29 7c 30 29 2b h.imul(d,z))|0)+
000307e0: 28 28 38 31 39 31 26 28 6f 3d 28 6f 3d 4d 61 74 ((8191&(o=(o=Mat
000307f0: 68 2e 69 6d 75 6c 28 64 2c 47 29 29 2b 4d 61 74 h.imul(d,G))+Mat
00030800: 68 2e 69 6d 75 6c 28 70 2c 7a 29 7c 30 29 29 3c h.imul(p,z)|0))<
00030810: 3c 31 33 29 7c 30 3b 63 3d 28 28 69 3d 4d 61 74 <13)|0;c=((i=Mat
00030820: 68 2e 69 6d 75 6c 28 70 2c 47 29 29 2b 28 6f 3e h.imul(p,G))+(o>
00030830: 3e 3e 31 33 29 7c 30 29 2b 28 67 65 3e 3e 3e 32 >>13)|0)+(ge>>>2
00030840: 36 29 7c 30 2c 67 65 26 3d 36 37 31 30 38 38 36 6)|0,ge&=6710886
00030850: 33 2c 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 6d 2c 3,r=Math.imul(m,
00030860: 7a 29 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 6d 75 z),o=(o=Math.imu
00030870: 6c 28 6d 2c 47 29 29 2b 4d 61 74 68 2e 69 6d 75 l(m,G))+Math.imu
00030880: 6c 28 68 2c 7a 29 7c 30 2c 69 3d 4d 61 74 68 2e l(h,z)|0,i=Math.
00030890: 69 6d 75 6c 28 68 2c 47 29 3b 76 61 72 20 62 65 imul(h,G);var be
000308a0: 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 68 2e 69 6d =(c+(r=r+Math.im
000308b0: 75 6c 28 64 2c 56 29 7c 30 29 7c 30 29 2b 28 28 ul(d,V)|0)|0)+((
000308c0: 38 31 39 31 26 28 6f 3d 28 6f 3d 6f 2b 4d 61 74 8191&(o=(o=o+Mat
000308d0: 68 2e 69 6d 75 6c 28 64 2c 71 29 7c 30 29 2b 4d h.imul(d,q)|0)+M
000308e0: 61 74 68 2e 69 6d 75 6c 28 70 2c 56 29 7c 30 29 ath.imul(p,V)|0)
000308f0: 29 3c 3c 31 33 29 7c 30 3b 63 3d 28 28 69 3d 69 )<<13)|0;c=((i=i
00030900: 2b 4d 61 74 68 2e 69 6d 75 6c 28 70 2c 71 29 7c +Math.imul(p,q)|
00030910: 30 29 2b 28 6f 3e 3e 3e 31 33 29 7c 30 29 2b 28 0)+(o>>>13)|0)+(
00030920: 62 65 3e 3e 3e 32 36 29 7c 30 2c 62 65 26 3d 36 be>>>26)|0,be&=6
00030930: 37 31 30 38 38 36 33 2c 72 3d 4d 61 74 68 2e 69 7108863,r=Math.i
00030940: 6d 75 6c 28 62 2c 7a 29 2c 6f 3d 28 6f 3d 4d 61 mul(b,z),o=(o=Ma
00030950: 74 68 2e 69 6d 75 6c 28 62 2c 47 29 29 2b 4d 61 th.imul(b,G))+Ma
00030960: 74 68 2e 69 6d 75 6c 28 76 2c 7a 29 7c 30 2c 69 th.imul(v,z)|0,i
00030970: 3d 4d 61 74 68 2e 69 6d 75 6c 28 76 2c 47 29 2c =Math.imul(v,G),
00030980: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 6d 2c r=r+Math.imul(m,
00030990: 56 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 V)|0,o=(o=o+Math
000309a0: 2e 69 6d 75 6c 28 6d 2c 71 29 7c 30 29 2b 4d 61 .imul(m,q)|0)+Ma
000309b0: 74 68 2e 69 6d 75 6c 28 68 2c 56 29 7c 30 2c 69 th.imul(h,V)|0,i
000309c0: 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 68 2c 71 =i+Math.imul(h,q
000309d0: 29 7c 30 3b 76 61 72 20 76 65 3d 28 63 2b 28 72 )|0;var ve=(c+(r
000309e0: 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 2c 59 =r+Math.imul(d,Y
000309f0: 29 7c 30 29 7c 30 29 2b 28 28 38 31 39 31 26 28 )|0)|0)+((8191&(
00030a00: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
00030a10: 28 64 2c 4b 29 7c 30 29 2b 4d 61 74 68 2e 69 6d (d,K)|0)+Math.im
00030a20: 75 6c 28 70 2c 59 29 7c 30 29 29 3c 3c 31 33 29 ul(p,Y)|0))<<13)
00030a30: 7c 30 3b 63 3d 28 28 69 3d 69 2b 4d 61 74 68 2e |0;c=((i=i+Math.
00030a40: 69 6d 75 6c 28 70 2c 4b 29 7c 30 29 2b 28 6f 3e imul(p,K)|0)+(o>
00030a50: 3e 3e 31 33 29 7c 30 29 2b 28 76 65 3e 3e 3e 32 >>13)|0)+(ve>>>2
00030a60: 36 29 7c 30 2c 76 65 26 3d 36 37 31 30 38 38 36 6)|0,ve&=6710886
00030a70: 33 2c 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 45 2c 3,r=Math.imul(E,
00030a80: 7a 29 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 6d 75 z),o=(o=Math.imu
00030a90: 6c 28 45 2c 47 29 29 2b 4d 61 74 68 2e 69 6d 75 l(E,G))+Math.imu
00030aa0: 6c 28 5f 2c 7a 29 7c 30 2c 69 3d 4d 61 74 68 2e l(_,z)|0,i=Math.
00030ab0: 69 6d 75 6c 28 5f 2c 47 29 2c 72 3d 72 2b 4d 61 imul(_,G),r=r+Ma
00030ac0: 74 68 2e 69 6d 75 6c 28 62 2c 56 29 7c 30 2c 6f th.imul(b,V)|0,o
00030ad0: 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 =(o=o+Math.imul(
00030ae0: 62 2c 71 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 b,q)|0)+Math.imu
00030af0: 6c 28 76 2c 56 29 7c 30 2c 69 3d 69 2b 4d 61 74 l(v,V)|0,i=i+Mat
00030b00: 68 2e 69 6d 75 6c 28 76 2c 71 29 7c 30 2c 72 3d h.imul(v,q)|0,r=
00030b10: 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 6d 2c 59 29 r+Math.imul(m,Y)
00030b20: 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 |0,o=(o=o+Math.i
00030b30: 6d 75 6c 28 6d 2c 4b 29 7c 30 29 2b 4d 61 74 68 mul(m,K)|0)+Math
00030b40: 2e 69 6d 75 6c 28 68 2c 59 29 7c 30 2c 69 3d 69 .imul(h,Y)|0,i=i
00030b50: 2b 4d 61 74 68 2e 69 6d 75 6c 28 68 2c 4b 29 7c +Math.imul(h,K)|
00030b60: 30 3b 76 61 72 20 79 65 3d 28 63 2b 28 72 3d 72 0;var ye=(c+(r=r
00030b70: 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 2c 58 29 7c +Math.imul(d,X)|
00030b80: 30 29 7c 30 29 2b 28 28 38 31 39 31 26 28 6f 3d 0)|0)+((8191&(o=
00030b90: 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 (o=o+Math.imul(d
00030ba0: 2c 51 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c ,Q)|0)+Math.imul
00030bb0: 28 70 2c 58 29 7c 30 29 29 3c 3c 31 33 29 7c 30 (p,X)|0))<<13)|0
00030bc0: 3b 63 3d 28 28 69 3d 69 2b 4d 61 74 68 2e 69 6d ;c=((i=i+Math.im
00030bd0: 75 6c 28 70 2c 51 29 7c 30 29 2b 28 6f 3e 3e 3e ul(p,Q)|0)+(o>>>
00030be0: 31 33 29 7c 30 29 2b 28 79 65 3e 3e 3e 32 36 29 13)|0)+(ye>>>26)
00030bf0: 7c 30 2c 79 65 26 3d 36 37 31 30 38 38 36 33 2c |0,ye&=67108863,
00030c00: 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 77 2c 7a 29 r=Math.imul(w,z)
00030c10: 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 6d 75 6c 28 ,o=(o=Math.imul(
00030c20: 77 2c 47 29 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 w,G))+Math.imul(
00030c30: 54 2c 7a 29 7c 30 2c 69 3d 4d 61 74 68 2e 69 6d T,z)|0,i=Math.im
00030c40: 75 6c 28 54 2c 47 29 2c 72 3d 72 2b 4d 61 74 68 ul(T,G),r=r+Math
00030c50: 2e 69 6d 75 6c 28 45 2c 56 29 7c 30 2c 6f 3d 28 .imul(E,V)|0,o=(
00030c60: 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 45 2c o=o+Math.imul(E,
00030c70: 71 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 q)|0)+Math.imul(
00030c80: 5f 2c 56 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e _,V)|0,i=i+Math.
00030c90: 69 6d 75 6c 28 5f 2c 71 29 7c 30 2c 72 3d 72 2b imul(_,q)|0,r=r+
00030ca0: 4d 61 74 68 2e 69 6d 75 6c 28 62 2c 59 29 7c 30 Math.imul(b,Y)|0
00030cb0: 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 ,o=(o=o+Math.imu
00030cc0: 6c 28 62 2c 4b 29 7c 30 29 2b 4d 61 74 68 2e 69 l(b,K)|0)+Math.i
00030cd0: 6d 75 6c 28 76 2c 59 29 7c 30 2c 69 3d 69 2b 4d mul(v,Y)|0,i=i+M
00030ce0: 61 74 68 2e 69 6d 75 6c 28 76 2c 4b 29 7c 30 2c ath.imul(v,K)|0,
00030cf0: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 6d 2c r=r+Math.imul(m,
00030d00: 58 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 X)|0,o=(o=o+Math
00030d10: 2e 69 6d 75 6c 28 6d 2c 51 29 7c 30 29 2b 4d 61 .imul(m,Q)|0)+Ma
00030d20: 74 68 2e 69 6d 75 6c 28 68 2c 58 29 7c 30 2c 69 th.imul(h,X)|0,i
00030d30: 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 68 2c 51 =i+Math.imul(h,Q
00030d40: 29 7c 30 3b 76 61 72 20 45 65 3d 28 63 2b 28 72 )|0;var Ee=(c+(r
00030d50: 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 2c 24 =r+Math.imul(d,$
00030d60: 29 7c 30 29 7c 30 29 2b 28 28 38 31 39 31 26 28 )|0)|0)+((8191&(
00030d70: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
00030d80: 28 64 2c 65 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (d,ee)|0)+Math.i
00030d90: 6d 75 6c 28 70 2c 24 29 7c 30 29 29 3c 3c 31 33 mul(p,$)|0))<<13
00030da0: 29 7c 30 3b 63 3d 28 28 69 3d 69 2b 4d 61 74 68 )|0;c=((i=i+Math
00030db0: 2e 69 6d 75 6c 28 70 2c 65 65 29 7c 30 29 2b 28 .imul(p,ee)|0)+(
00030dc0: 6f 3e 3e 3e 31 33 29 7c 30 29 2b 28 45 65 3e 3e o>>>13)|0)+(Ee>>
00030dd0: 3e 32 36 29 7c 30 2c 45 65 26 3d 36 37 31 30 38 >26)|0,Ee&=67108
00030de0: 38 36 33 2c 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 863,r=Math.imul(
00030df0: 41 2c 7a 29 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 A,z),o=(o=Math.i
00030e00: 6d 75 6c 28 41 2c 47 29 29 2b 4d 61 74 68 2e 69 mul(A,G))+Math.i
00030e10: 6d 75 6c 28 43 2c 7a 29 7c 30 2c 69 3d 4d 61 74 mul(C,z)|0,i=Mat
00030e20: 68 2e 69 6d 75 6c 28 43 2c 47 29 2c 72 3d 72 2b h.imul(C,G),r=r+
00030e30: 4d 61 74 68 2e 69 6d 75 6c 28 77 2c 56 29 7c 30 Math.imul(w,V)|0
00030e40: 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 ,o=(o=o+Math.imu
00030e50: 6c 28 77 2c 71 29 7c 30 29 2b 4d 61 74 68 2e 69 l(w,q)|0)+Math.i
00030e60: 6d 75 6c 28 54 2c 56 29 7c 30 2c 69 3d 69 2b 4d mul(T,V)|0,i=i+M
00030e70: 61 74 68 2e 69 6d 75 6c 28 54 2c 71 29 7c 30 2c ath.imul(T,q)|0,
00030e80: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 45 2c r=r+Math.imul(E,
00030e90: 59 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 Y)|0,o=(o=o+Math
00030ea0: 2e 69 6d 75 6c 28 45 2c 4b 29 7c 30 29 2b 4d 61 .imul(E,K)|0)+Ma
00030eb0: 74 68 2e 69 6d 75 6c 28 5f 2c 59 29 7c 30 2c 69 th.imul(_,Y)|0,i
00030ec0: 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 5f 2c 4b =i+Math.imul(_,K
00030ed0: 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 )|0,r=r+Math.imu
00030ee0: 6c 28 62 2c 58 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b l(b,X)|0,o=(o=o+
00030ef0: 4d 61 74 68 2e 69 6d 75 6c 28 62 2c 51 29 7c 30 Math.imul(b,Q)|0
00030f00: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 76 2c 58 29 )+Math.imul(v,X)
00030f10: 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c |0,i=i+Math.imul
00030f20: 28 76 2c 51 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 (v,Q)|0,r=r+Math
00030f30: 2e 69 6d 75 6c 28 6d 2c 24 29 7c 30 2c 6f 3d 28 .imul(m,$)|0,o=(
00030f40: 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 6d 2c o=o+Math.imul(m,
00030f50: 65 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c ee)|0)+Math.imul
00030f60: 28 68 2c 24 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 (h,$)|0,i=i+Math
00030f70: 2e 69 6d 75 6c 28 68 2c 65 65 29 7c 30 3b 76 61 .imul(h,ee)|0;va
00030f80: 72 20 5f 65 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 r _e=(c+(r=r+Mat
00030f90: 68 2e 69 6d 75 6c 28 64 2c 6e 65 29 7c 30 29 7c h.imul(d,ne)|0)|
00030fa0: 30 29 2b 28 28 38 31 39 31 26 28 6f 3d 28 6f 3d 0)+((8191&(o=(o=
00030fb0: 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 2c 72 65 o+Math.imul(d,re
00030fc0: 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 70 )|0)+Math.imul(p
00030fd0: 2c 6e 65 29 7c 30 29 29 3c 3c 31 33 29 7c 30 3b ,ne)|0))<<13)|0;
00030fe0: 63 3d 28 28 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 c=((i=i+Math.imu
00030ff0: 6c 28 70 2c 72 65 29 7c 30 29 2b 28 6f 3e 3e 3e l(p,re)|0)+(o>>>
00031000: 31 33 29 7c 30 29 2b 28 5f 65 3e 3e 3e 32 36 29 13)|0)+(_e>>>26)
00031010: 7c 30 2c 5f 65 26 3d 36 37 31 30 38 38 36 33 2c |0,_e&=67108863,
00031020: 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 6b 2c 7a 29 r=Math.imul(k,z)
00031030: 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 6d 75 6c 28 ,o=(o=Math.imul(
00031040: 6b 2c 47 29 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 k,G))+Math.imul(
00031050: 4f 2c 7a 29 7c 30 2c 69 3d 4d 61 74 68 2e 69 6d O,z)|0,i=Math.im
00031060: 75 6c 28 4f 2c 47 29 2c 72 3d 72 2b 4d 61 74 68 ul(O,G),r=r+Math
00031070: 2e 69 6d 75 6c 28 41 2c 56 29 7c 30 2c 6f 3d 28 .imul(A,V)|0,o=(
00031080: 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 41 2c o=o+Math.imul(A,
00031090: 71 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 q)|0)+Math.imul(
000310a0: 43 2c 56 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e C,V)|0,i=i+Math.
000310b0: 69 6d 75 6c 28 43 2c 71 29 7c 30 2c 72 3d 72 2b imul(C,q)|0,r=r+
000310c0: 4d 61 74 68 2e 69 6d 75 6c 28 77 2c 59 29 7c 30 Math.imul(w,Y)|0
000310d0: 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 ,o=(o=o+Math.imu
000310e0: 6c 28 77 2c 4b 29 7c 30 29 2b 4d 61 74 68 2e 69 l(w,K)|0)+Math.i
000310f0: 6d 75 6c 28 54 2c 59 29 7c 30 2c 69 3d 69 2b 4d mul(T,Y)|0,i=i+M
00031100: 61 74 68 2e 69 6d 75 6c 28 54 2c 4b 29 7c 30 2c ath.imul(T,K)|0,
00031110: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 45 2c r=r+Math.imul(E,
00031120: 58 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 X)|0,o=(o=o+Math
00031130: 2e 69 6d 75 6c 28 45 2c 51 29 7c 30 29 2b 4d 61 .imul(E,Q)|0)+Ma
00031140: 74 68 2e 69 6d 75 6c 28 5f 2c 58 29 7c 30 2c 69 th.imul(_,X)|0,i
00031150: 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 5f 2c 51 =i+Math.imul(_,Q
00031160: 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 )|0,r=r+Math.imu
00031170: 6c 28 62 2c 24 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b l(b,$)|0,o=(o=o+
00031180: 4d 61 74 68 2e 69 6d 75 6c 28 62 2c 65 65 29 7c Math.imul(b,ee)|
00031190: 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 76 2c 24 0)+Math.imul(v,$
000311a0: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
000311b0: 6c 28 76 2c 65 65 29 7c 30 2c 72 3d 72 2b 4d 61 l(v,ee)|0,r=r+Ma
000311c0: 74 68 2e 69 6d 75 6c 28 6d 2c 6e 65 29 7c 30 2c th.imul(m,ne)|0,
000311d0: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
000311e0: 28 6d 2c 72 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (m,re)|0)+Math.i
000311f0: 6d 75 6c 28 68 2c 6e 65 29 7c 30 2c 69 3d 69 2b mul(h,ne)|0,i=i+
00031200: 4d 61 74 68 2e 69 6d 75 6c 28 68 2c 72 65 29 7c Math.imul(h,re)|
00031210: 30 3b 76 61 72 20 53 65 3d 28 63 2b 28 72 3d 72 0;var Se=(c+(r=r
00031220: 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 2c 69 65 29 +Math.imul(d,ie)
00031230: 7c 30 29 7c 30 29 2b 28 28 38 31 39 31 26 28 6f |0)|0)+((8191&(o
00031240: 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 =(o=o+Math.imul(
00031250: 64 2c 61 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d d,ae)|0)+Math.im
00031260: 75 6c 28 70 2c 69 65 29 7c 30 29 29 3c 3c 31 33 ul(p,ie)|0))<<13
00031270: 29 7c 30 3b 63 3d 28 28 69 3d 69 2b 4d 61 74 68 )|0;c=((i=i+Math
00031280: 2e 69 6d 75 6c 28 70 2c 61 65 29 7c 30 29 2b 28 .imul(p,ae)|0)+(
00031290: 6f 3e 3e 3e 31 33 29 7c 30 29 2b 28 53 65 3e 3e o>>>13)|0)+(Se>>
000312a0: 3e 32 36 29 7c 30 2c 53 65 26 3d 36 37 31 30 38 >26)|0,Se&=67108
000312b0: 38 36 33 2c 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 863,r=Math.imul(
000312c0: 49 2c 7a 29 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 I,z),o=(o=Math.i
000312d0: 6d 75 6c 28 49 2c 47 29 29 2b 4d 61 74 68 2e 69 mul(I,G))+Math.i
000312e0: 6d 75 6c 28 4d 2c 7a 29 7c 30 2c 69 3d 4d 61 74 mul(M,z)|0,i=Mat
000312f0: 68 2e 69 6d 75 6c 28 4d 2c 47 29 2c 72 3d 72 2b h.imul(M,G),r=r+
00031300: 4d 61 74 68 2e 69 6d 75 6c 28 6b 2c 56 29 7c 30 Math.imul(k,V)|0
00031310: 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 ,o=(o=o+Math.imu
00031320: 6c 28 6b 2c 71 29 7c 30 29 2b 4d 61 74 68 2e 69 l(k,q)|0)+Math.i
00031330: 6d 75 6c 28 4f 2c 56 29 7c 30 2c 69 3d 69 2b 4d mul(O,V)|0,i=i+M
00031340: 61 74 68 2e 69 6d 75 6c 28 4f 2c 71 29 7c 30 2c ath.imul(O,q)|0,
00031350: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 41 2c r=r+Math.imul(A,
00031360: 59 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 Y)|0,o=(o=o+Math
00031370: 2e 69 6d 75 6c 28 41 2c 4b 29 7c 30 29 2b 4d 61 .imul(A,K)|0)+Ma
00031380: 74 68 2e 69 6d 75 6c 28 43 2c 59 29 7c 30 2c 69 th.imul(C,Y)|0,i
00031390: 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 43 2c 4b =i+Math.imul(C,K
000313a0: 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 )|0,r=r+Math.imu
000313b0: 6c 28 77 2c 58 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b l(w,X)|0,o=(o=o+
000313c0: 4d 61 74 68 2e 69 6d 75 6c 28 77 2c 51 29 7c 30 Math.imul(w,Q)|0
000313d0: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 54 2c 58 29 )+Math.imul(T,X)
000313e0: 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c |0,i=i+Math.imul
000313f0: 28 54 2c 51 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 (T,Q)|0,r=r+Math
00031400: 2e 69 6d 75 6c 28 45 2c 24 29 7c 30 2c 6f 3d 28 .imul(E,$)|0,o=(
00031410: 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 45 2c o=o+Math.imul(E,
00031420: 65 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c ee)|0)+Math.imul
00031430: 28 5f 2c 24 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 (_,$)|0,i=i+Math
00031440: 2e 69 6d 75 6c 28 5f 2c 65 65 29 7c 30 2c 72 3d .imul(_,ee)|0,r=
00031450: 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 62 2c 6e 65 r+Math.imul(b,ne
00031460: 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e )|0,o=(o=o+Math.
00031470: 69 6d 75 6c 28 62 2c 72 65 29 7c 30 29 2b 4d 61 imul(b,re)|0)+Ma
00031480: 74 68 2e 69 6d 75 6c 28 76 2c 6e 65 29 7c 30 2c th.imul(v,ne)|0,
00031490: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 76 2c i=i+Math.imul(v,
000314a0: 72 65 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 re)|0,r=r+Math.i
000314b0: 6d 75 6c 28 6d 2c 69 65 29 7c 30 2c 6f 3d 28 6f mul(m,ie)|0,o=(o
000314c0: 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 6d 2c 61 =o+Math.imul(m,a
000314d0: 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 e)|0)+Math.imul(
000314e0: 68 2c 69 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 h,ie)|0,i=i+Math
000314f0: 2e 69 6d 75 6c 28 68 2c 61 65 29 7c 30 3b 76 61 .imul(h,ae)|0;va
00031500: 72 20 77 65 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 r we=(c+(r=r+Mat
00031510: 68 2e 69 6d 75 6c 28 64 2c 6c 65 29 7c 30 29 7c h.imul(d,le)|0)|
00031520: 30 29 2b 28 28 38 31 39 31 26 28 6f 3d 28 6f 3d 0)+((8191&(o=(o=
00031530: 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 2c 63 65 o+Math.imul(d,ce
00031540: 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 70 )|0)+Math.imul(p
00031550: 2c 6c 65 29 7c 30 29 29 3c 3c 31 33 29 7c 30 3b ,le)|0))<<13)|0;
00031560: 63 3d 28 28 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 c=((i=i+Math.imu
00031570: 6c 28 70 2c 63 65 29 7c 30 29 2b 28 6f 3e 3e 3e l(p,ce)|0)+(o>>>
00031580: 31 33 29 7c 30 29 2b 28 77 65 3e 3e 3e 32 36 29 13)|0)+(we>>>26)
00031590: 7c 30 2c 77 65 26 3d 36 37 31 30 38 38 36 33 2c |0,we&=67108863,
000315a0: 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 44 2c 7a 29 r=Math.imul(D,z)
000315b0: 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 6d 75 6c 28 ,o=(o=Math.imul(
000315c0: 44 2c 47 29 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 D,G))+Math.imul(
000315d0: 4c 2c 7a 29 7c 30 2c 69 3d 4d 61 74 68 2e 69 6d L,z)|0,i=Math.im
000315e0: 75 6c 28 4c 2c 47 29 2c 72 3d 72 2b 4d 61 74 68 ul(L,G),r=r+Math
000315f0: 2e 69 6d 75 6c 28 49 2c 56 29 7c 30 2c 6f 3d 28 .imul(I,V)|0,o=(
00031600: 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 49 2c o=o+Math.imul(I,
00031610: 71 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 q)|0)+Math.imul(
00031620: 4d 2c 56 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e M,V)|0,i=i+Math.
00031630: 69 6d 75 6c 28 4d 2c 71 29 7c 30 2c 72 3d 72 2b imul(M,q)|0,r=r+
00031640: 4d 61 74 68 2e 69 6d 75 6c 28 6b 2c 59 29 7c 30 Math.imul(k,Y)|0
00031650: 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 ,o=(o=o+Math.imu
00031660: 6c 28 6b 2c 4b 29 7c 30 29 2b 4d 61 74 68 2e 69 l(k,K)|0)+Math.i
00031670: 6d 75 6c 28 4f 2c 59 29 7c 30 2c 69 3d 69 2b 4d mul(O,Y)|0,i=i+M
00031680: 61 74 68 2e 69 6d 75 6c 28 4f 2c 4b 29 7c 30 2c ath.imul(O,K)|0,
00031690: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 41 2c r=r+Math.imul(A,
000316a0: 58 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 X)|0,o=(o=o+Math
000316b0: 2e 69 6d 75 6c 28 41 2c 51 29 7c 30 29 2b 4d 61 .imul(A,Q)|0)+Ma
000316c0: 74 68 2e 69 6d 75 6c 28 43 2c 58 29 7c 30 2c 69 th.imul(C,X)|0,i
000316d0: 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 43 2c 51 =i+Math.imul(C,Q
000316e0: 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 )|0,r=r+Math.imu
000316f0: 6c 28 77 2c 24 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b l(w,$)|0,o=(o=o+
00031700: 4d 61 74 68 2e 69 6d 75 6c 28 77 2c 65 65 29 7c Math.imul(w,ee)|
00031710: 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 54 2c 24 0)+Math.imul(T,$
00031720: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
00031730: 6c 28 54 2c 65 65 29 7c 30 2c 72 3d 72 2b 4d 61 l(T,ee)|0,r=r+Ma
00031740: 74 68 2e 69 6d 75 6c 28 45 2c 6e 65 29 7c 30 2c th.imul(E,ne)|0,
00031750: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
00031760: 28 45 2c 72 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (E,re)|0)+Math.i
00031770: 6d 75 6c 28 5f 2c 6e 65 29 7c 30 2c 69 3d 69 2b mul(_,ne)|0,i=i+
00031780: 4d 61 74 68 2e 69 6d 75 6c 28 5f 2c 72 65 29 7c Math.imul(_,re)|
00031790: 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 0,r=r+Math.imul(
000317a0: 62 2c 69 65 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d b,ie)|0,o=(o=o+M
000317b0: 61 74 68 2e 69 6d 75 6c 28 62 2c 61 65 29 7c 30 ath.imul(b,ae)|0
000317c0: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 76 2c 69 65 )+Math.imul(v,ie
000317d0: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
000317e0: 6c 28 76 2c 61 65 29 7c 30 2c 72 3d 72 2b 4d 61 l(v,ae)|0,r=r+Ma
000317f0: 74 68 2e 69 6d 75 6c 28 6d 2c 6c 65 29 7c 30 2c th.imul(m,le)|0,
00031800: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
00031810: 28 6d 2c 63 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (m,ce)|0)+Math.i
00031820: 6d 75 6c 28 68 2c 6c 65 29 7c 30 2c 69 3d 69 2b mul(h,le)|0,i=i+
00031830: 4d 61 74 68 2e 69 6d 75 6c 28 68 2c 63 65 29 7c Math.imul(h,ce)|
00031840: 30 3b 76 61 72 20 54 65 3d 28 63 2b 28 72 3d 72 0;var Te=(c+(r=r
00031850: 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 2c 64 65 29 +Math.imul(d,de)
00031860: 7c 30 29 7c 30 29 2b 28 28 38 31 39 31 26 28 6f |0)|0)+((8191&(o
00031870: 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 =(o=o+Math.imul(
00031880: 64 2c 70 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d d,pe)|0)+Math.im
00031890: 75 6c 28 70 2c 64 65 29 7c 30 29 29 3c 3c 31 33 ul(p,de)|0))<<13
000318a0: 29 7c 30 3b 63 3d 28 28 69 3d 69 2b 4d 61 74 68 )|0;c=((i=i+Math
000318b0: 2e 69 6d 75 6c 28 70 2c 70 65 29 7c 30 29 2b 28 .imul(p,pe)|0)+(
000318c0: 6f 3e 3e 3e 31 33 29 7c 30 29 2b 28 54 65 3e 3e o>>>13)|0)+(Te>>
000318d0: 3e 32 36 29 7c 30 2c 54 65 26 3d 36 37 31 30 38 >26)|0,Te&=67108
000318e0: 38 36 33 2c 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 863,r=Math.imul(
000318f0: 55 2c 7a 29 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 U,z),o=(o=Math.i
00031900: 6d 75 6c 28 55 2c 47 29 29 2b 4d 61 74 68 2e 69 mul(U,G))+Math.i
00031910: 6d 75 6c 28 42 2c 7a 29 7c 30 2c 69 3d 4d 61 74 mul(B,z)|0,i=Mat
00031920: 68 2e 69 6d 75 6c 28 42 2c 47 29 2c 72 3d 72 2b h.imul(B,G),r=r+
00031930: 4d 61 74 68 2e 69 6d 75 6c 28 44 2c 56 29 7c 30 Math.imul(D,V)|0
00031940: 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 ,o=(o=o+Math.imu
00031950: 6c 28 44 2c 71 29 7c 30 29 2b 4d 61 74 68 2e 69 l(D,q)|0)+Math.i
00031960: 6d 75 6c 28 4c 2c 56 29 7c 30 2c 69 3d 69 2b 4d mul(L,V)|0,i=i+M
00031970: 61 74 68 2e 69 6d 75 6c 28 4c 2c 71 29 7c 30 2c ath.imul(L,q)|0,
00031980: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 49 2c r=r+Math.imul(I,
00031990: 59 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 Y)|0,o=(o=o+Math
000319a0: 2e 69 6d 75 6c 28 49 2c 4b 29 7c 30 29 2b 4d 61 .imul(I,K)|0)+Ma
000319b0: 74 68 2e 69 6d 75 6c 28 4d 2c 59 29 7c 30 2c 69 th.imul(M,Y)|0,i
000319c0: 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 4d 2c 4b =i+Math.imul(M,K
000319d0: 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 )|0,r=r+Math.imu
000319e0: 6c 28 6b 2c 58 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b l(k,X)|0,o=(o=o+
000319f0: 4d 61 74 68 2e 69 6d 75 6c 28 6b 2c 51 29 7c 30 Math.imul(k,Q)|0
00031a00: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 4f 2c 58 29 )+Math.imul(O,X)
00031a10: 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c |0,i=i+Math.imul
00031a20: 28 4f 2c 51 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 (O,Q)|0,r=r+Math
00031a30: 2e 69 6d 75 6c 28 41 2c 24 29 7c 30 2c 6f 3d 28 .imul(A,$)|0,o=(
00031a40: 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 41 2c o=o+Math.imul(A,
00031a50: 65 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c ee)|0)+Math.imul
00031a60: 28 43 2c 24 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 (C,$)|0,i=i+Math
00031a70: 2e 69 6d 75 6c 28 43 2c 65 65 29 7c 30 2c 72 3d .imul(C,ee)|0,r=
00031a80: 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 77 2c 6e 65 r+Math.imul(w,ne
00031a90: 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e )|0,o=(o=o+Math.
00031aa0: 69 6d 75 6c 28 77 2c 72 65 29 7c 30 29 2b 4d 61 imul(w,re)|0)+Ma
00031ab0: 74 68 2e 69 6d 75 6c 28 54 2c 6e 65 29 7c 30 2c th.imul(T,ne)|0,
00031ac0: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 54 2c i=i+Math.imul(T,
00031ad0: 72 65 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 re)|0,r=r+Math.i
00031ae0: 6d 75 6c 28 45 2c 69 65 29 7c 30 2c 6f 3d 28 6f mul(E,ie)|0,o=(o
00031af0: 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 45 2c 61 =o+Math.imul(E,a
00031b00: 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 e)|0)+Math.imul(
00031b10: 5f 2c 69 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 _,ie)|0,i=i+Math
00031b20: 2e 69 6d 75 6c 28 5f 2c 61 65 29 7c 30 2c 72 3d .imul(_,ae)|0,r=
00031b30: 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 62 2c 6c 65 r+Math.imul(b,le
00031b40: 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e )|0,o=(o=o+Math.
00031b50: 69 6d 75 6c 28 62 2c 63 65 29 7c 30 29 2b 4d 61 imul(b,ce)|0)+Ma
00031b60: 74 68 2e 69 6d 75 6c 28 76 2c 6c 65 29 7c 30 2c th.imul(v,le)|0,
00031b70: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 76 2c i=i+Math.imul(v,
00031b80: 63 65 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 ce)|0,r=r+Math.i
00031b90: 6d 75 6c 28 6d 2c 64 65 29 7c 30 2c 6f 3d 28 6f mul(m,de)|0,o=(o
00031ba0: 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 6d 2c 70 =o+Math.imul(m,p
00031bb0: 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 e)|0)+Math.imul(
00031bc0: 68 2c 64 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 h,de)|0,i=i+Math
00031bd0: 2e 69 6d 75 6c 28 68 2c 70 65 29 7c 30 3b 76 61 .imul(h,pe)|0;va
00031be0: 72 20 78 65 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 r xe=(c+(r=r+Mat
00031bf0: 68 2e 69 6d 75 6c 28 64 2c 6d 65 29 7c 30 29 7c h.imul(d,me)|0)|
00031c00: 30 29 2b 28 28 38 31 39 31 26 28 6f 3d 28 6f 3d 0)+((8191&(o=(o=
00031c10: 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 64 2c 68 65 o+Math.imul(d,he
00031c20: 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 70 )|0)+Math.imul(p
00031c30: 2c 6d 65 29 7c 30 29 29 3c 3c 31 33 29 7c 30 3b ,me)|0))<<13)|0;
00031c40: 63 3d 28 28 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 c=((i=i+Math.imu
00031c50: 6c 28 70 2c 68 65 29 7c 30 29 2b 28 6f 3e 3e 3e l(p,he)|0)+(o>>>
00031c60: 31 33 29 7c 30 29 2b 28 78 65 3e 3e 3e 32 36 29 13)|0)+(xe>>>26)
00031c70: 7c 30 2c 78 65 26 3d 36 37 31 30 38 38 36 33 2c |0,xe&=67108863,
00031c80: 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 55 2c 56 29 r=Math.imul(U,V)
00031c90: 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 6d 75 6c 28 ,o=(o=Math.imul(
00031ca0: 55 2c 71 29 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 U,q))+Math.imul(
00031cb0: 42 2c 56 29 7c 30 2c 69 3d 4d 61 74 68 2e 69 6d B,V)|0,i=Math.im
00031cc0: 75 6c 28 42 2c 71 29 2c 72 3d 72 2b 4d 61 74 68 ul(B,q),r=r+Math
00031cd0: 2e 69 6d 75 6c 28 44 2c 59 29 7c 30 2c 6f 3d 28 .imul(D,Y)|0,o=(
00031ce0: 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 44 2c o=o+Math.imul(D,
00031cf0: 4b 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 K)|0)+Math.imul(
00031d00: 4c 2c 59 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e L,Y)|0,i=i+Math.
00031d10: 69 6d 75 6c 28 4c 2c 4b 29 7c 30 2c 72 3d 72 2b imul(L,K)|0,r=r+
00031d20: 4d 61 74 68 2e 69 6d 75 6c 28 49 2c 58 29 7c 30 Math.imul(I,X)|0
00031d30: 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 ,o=(o=o+Math.imu
00031d40: 6c 28 49 2c 51 29 7c 30 29 2b 4d 61 74 68 2e 69 l(I,Q)|0)+Math.i
00031d50: 6d 75 6c 28 4d 2c 58 29 7c 30 2c 69 3d 69 2b 4d mul(M,X)|0,i=i+M
00031d60: 61 74 68 2e 69 6d 75 6c 28 4d 2c 51 29 7c 30 2c ath.imul(M,Q)|0,
00031d70: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 6b 2c r=r+Math.imul(k,
00031d80: 24 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 $)|0,o=(o=o+Math
00031d90: 2e 69 6d 75 6c 28 6b 2c 65 65 29 7c 30 29 2b 4d .imul(k,ee)|0)+M
00031da0: 61 74 68 2e 69 6d 75 6c 28 4f 2c 24 29 7c 30 2c ath.imul(O,$)|0,
00031db0: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 4f 2c i=i+Math.imul(O,
00031dc0: 65 65 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 ee)|0,r=r+Math.i
00031dd0: 6d 75 6c 28 41 2c 6e 65 29 7c 30 2c 6f 3d 28 6f mul(A,ne)|0,o=(o
00031de0: 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 41 2c 72 =o+Math.imul(A,r
00031df0: 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 e)|0)+Math.imul(
00031e00: 43 2c 6e 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 C,ne)|0,i=i+Math
00031e10: 2e 69 6d 75 6c 28 43 2c 72 65 29 7c 30 2c 72 3d .imul(C,re)|0,r=
00031e20: 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 77 2c 69 65 r+Math.imul(w,ie
00031e30: 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e )|0,o=(o=o+Math.
00031e40: 69 6d 75 6c 28 77 2c 61 65 29 7c 30 29 2b 4d 61 imul(w,ae)|0)+Ma
00031e50: 74 68 2e 69 6d 75 6c 28 54 2c 69 65 29 7c 30 2c th.imul(T,ie)|0,
00031e60: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 54 2c i=i+Math.imul(T,
00031e70: 61 65 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 ae)|0,r=r+Math.i
00031e80: 6d 75 6c 28 45 2c 6c 65 29 7c 30 2c 6f 3d 28 6f mul(E,le)|0,o=(o
00031e90: 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 45 2c 63 =o+Math.imul(E,c
00031ea0: 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 e)|0)+Math.imul(
00031eb0: 5f 2c 6c 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 _,le)|0,i=i+Math
00031ec0: 2e 69 6d 75 6c 28 5f 2c 63 65 29 7c 30 2c 72 3d .imul(_,ce)|0,r=
00031ed0: 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 62 2c 64 65 r+Math.imul(b,de
00031ee0: 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e )|0,o=(o=o+Math.
00031ef0: 69 6d 75 6c 28 62 2c 70 65 29 7c 30 29 2b 4d 61 imul(b,pe)|0)+Ma
00031f00: 74 68 2e 69 6d 75 6c 28 76 2c 64 65 29 7c 30 2c th.imul(v,de)|0,
00031f10: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 76 2c i=i+Math.imul(v,
00031f20: 70 65 29 7c 30 3b 76 61 72 20 41 65 3d 28 63 2b pe)|0;var Ae=(c+
00031f30: 28 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 6d (r=r+Math.imul(m
00031f40: 2c 6d 65 29 7c 30 29 7c 30 29 2b 28 28 38 31 39 ,me)|0)|0)+((819
00031f50: 31 26 28 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 1&(o=(o=o+Math.i
00031f60: 6d 75 6c 28 6d 2c 68 65 29 7c 30 29 2b 4d 61 74 mul(m,he)|0)+Mat
00031f70: 68 2e 69 6d 75 6c 28 68 2c 6d 65 29 7c 30 29 29 h.imul(h,me)|0))
00031f80: 3c 3c 31 33 29 7c 30 3b 63 3d 28 28 69 3d 69 2b <<13)|0;c=((i=i+
00031f90: 4d 61 74 68 2e 69 6d 75 6c 28 68 2c 68 65 29 7c Math.imul(h,he)|
00031fa0: 30 29 2b 28 6f 3e 3e 3e 31 33 29 7c 30 29 2b 28 0)+(o>>>13)|0)+(
00031fb0: 41 65 3e 3e 3e 32 36 29 7c 30 2c 41 65 26 3d 36 Ae>>>26)|0,Ae&=6
00031fc0: 37 31 30 38 38 36 33 2c 72 3d 4d 61 74 68 2e 69 7108863,r=Math.i
00031fd0: 6d 75 6c 28 55 2c 59 29 2c 6f 3d 28 6f 3d 4d 61 mul(U,Y),o=(o=Ma
00031fe0: 74 68 2e 69 6d 75 6c 28 55 2c 4b 29 29 2b 4d 61 th.imul(U,K))+Ma
00031ff0: 74 68 2e 69 6d 75 6c 28 42 2c 59 29 7c 30 2c 69 th.imul(B,Y)|0,i
00032000: 3d 4d 61 74 68 2e 69 6d 75 6c 28 42 2c 4b 29 2c =Math.imul(B,K),
00032010: 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 44 2c r=r+Math.imul(D,
00032020: 58 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 X)|0,o=(o=o+Math
00032030: 2e 69 6d 75 6c 28 44 2c 51 29 7c 30 29 2b 4d 61 .imul(D,Q)|0)+Ma
00032040: 74 68 2e 69 6d 75 6c 28 4c 2c 58 29 7c 30 2c 69 th.imul(L,X)|0,i
00032050: 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 4c 2c 51 =i+Math.imul(L,Q
00032060: 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 )|0,r=r+Math.imu
00032070: 6c 28 49 2c 24 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b l(I,$)|0,o=(o=o+
00032080: 4d 61 74 68 2e 69 6d 75 6c 28 49 2c 65 65 29 7c Math.imul(I,ee)|
00032090: 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 4d 2c 24 0)+Math.imul(M,$
000320a0: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
000320b0: 6c 28 4d 2c 65 65 29 7c 30 2c 72 3d 72 2b 4d 61 l(M,ee)|0,r=r+Ma
000320c0: 74 68 2e 69 6d 75 6c 28 6b 2c 6e 65 29 7c 30 2c th.imul(k,ne)|0,
000320d0: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
000320e0: 28 6b 2c 72 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (k,re)|0)+Math.i
000320f0: 6d 75 6c 28 4f 2c 6e 65 29 7c 30 2c 69 3d 69 2b mul(O,ne)|0,i=i+
00032100: 4d 61 74 68 2e 69 6d 75 6c 28 4f 2c 72 65 29 7c Math.imul(O,re)|
00032110: 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 0,r=r+Math.imul(
00032120: 41 2c 69 65 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d A,ie)|0,o=(o=o+M
00032130: 61 74 68 2e 69 6d 75 6c 28 41 2c 61 65 29 7c 30 ath.imul(A,ae)|0
00032140: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 43 2c 69 65 )+Math.imul(C,ie
00032150: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
00032160: 6c 28 43 2c 61 65 29 7c 30 2c 72 3d 72 2b 4d 61 l(C,ae)|0,r=r+Ma
00032170: 74 68 2e 69 6d 75 6c 28 77 2c 6c 65 29 7c 30 2c th.imul(w,le)|0,
00032180: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
00032190: 28 77 2c 63 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (w,ce)|0)+Math.i
000321a0: 6d 75 6c 28 54 2c 6c 65 29 7c 30 2c 69 3d 69 2b mul(T,le)|0,i=i+
000321b0: 4d 61 74 68 2e 69 6d 75 6c 28 54 2c 63 65 29 7c Math.imul(T,ce)|
000321c0: 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 0,r=r+Math.imul(
000321d0: 45 2c 64 65 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d E,de)|0,o=(o=o+M
000321e0: 61 74 68 2e 69 6d 75 6c 28 45 2c 70 65 29 7c 30 ath.imul(E,pe)|0
000321f0: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 5f 2c 64 65 )+Math.imul(_,de
00032200: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
00032210: 6c 28 5f 2c 70 65 29 7c 30 3b 76 61 72 20 43 65 l(_,pe)|0;var Ce
00032220: 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 68 2e 69 6d =(c+(r=r+Math.im
00032230: 75 6c 28 62 2c 6d 65 29 7c 30 29 7c 30 29 2b 28 ul(b,me)|0)|0)+(
00032240: 28 38 31 39 31 26 28 6f 3d 28 6f 3d 6f 2b 4d 61 (8191&(o=(o=o+Ma
00032250: 74 68 2e 69 6d 75 6c 28 62 2c 68 65 29 7c 30 29 th.imul(b,he)|0)
00032260: 2b 4d 61 74 68 2e 69 6d 75 6c 28 76 2c 6d 65 29 +Math.imul(v,me)
00032270: 7c 30 29 29 3c 3c 31 33 29 7c 30 3b 63 3d 28 28 |0))<<13)|0;c=((
00032280: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 76 2c i=i+Math.imul(v,
00032290: 68 65 29 7c 30 29 2b 28 6f 3e 3e 3e 31 33 29 7c he)|0)+(o>>>13)|
000322a0: 30 29 2b 28 43 65 3e 3e 3e 32 36 29 7c 30 2c 43 0)+(Ce>>>26)|0,C
000322b0: 65 26 3d 36 37 31 30 38 38 36 33 2c 72 3d 4d 61 e&=67108863,r=Ma
000322c0: 74 68 2e 69 6d 75 6c 28 55 2c 58 29 2c 6f 3d 28 th.imul(U,X),o=(
000322d0: 6f 3d 4d 61 74 68 2e 69 6d 75 6c 28 55 2c 51 29 o=Math.imul(U,Q)
000322e0: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 42 2c 58 29 )+Math.imul(B,X)
000322f0: 7c 30 2c 69 3d 4d 61 74 68 2e 69 6d 75 6c 28 42 |0,i=Math.imul(B
00032300: 2c 51 29 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 ,Q),r=r+Math.imu
00032310: 6c 28 44 2c 24 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b l(D,$)|0,o=(o=o+
00032320: 4d 61 74 68 2e 69 6d 75 6c 28 44 2c 65 65 29 7c Math.imul(D,ee)|
00032330: 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 4c 2c 24 0)+Math.imul(L,$
00032340: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
00032350: 6c 28 4c 2c 65 65 29 7c 30 2c 72 3d 72 2b 4d 61 l(L,ee)|0,r=r+Ma
00032360: 74 68 2e 69 6d 75 6c 28 49 2c 6e 65 29 7c 30 2c th.imul(I,ne)|0,
00032370: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
00032380: 28 49 2c 72 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (I,re)|0)+Math.i
00032390: 6d 75 6c 28 4d 2c 6e 65 29 7c 30 2c 69 3d 69 2b mul(M,ne)|0,i=i+
000323a0: 4d 61 74 68 2e 69 6d 75 6c 28 4d 2c 72 65 29 7c Math.imul(M,re)|
000323b0: 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 0,r=r+Math.imul(
000323c0: 6b 2c 69 65 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d k,ie)|0,o=(o=o+M
000323d0: 61 74 68 2e 69 6d 75 6c 28 6b 2c 61 65 29 7c 30 ath.imul(k,ae)|0
000323e0: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 4f 2c 69 65 )+Math.imul(O,ie
000323f0: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
00032400: 6c 28 4f 2c 61 65 29 7c 30 2c 72 3d 72 2b 4d 61 l(O,ae)|0,r=r+Ma
00032410: 74 68 2e 69 6d 75 6c 28 41 2c 6c 65 29 7c 30 2c th.imul(A,le)|0,
00032420: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
00032430: 28 41 2c 63 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (A,ce)|0)+Math.i
00032440: 6d 75 6c 28 43 2c 6c 65 29 7c 30 2c 69 3d 69 2b mul(C,le)|0,i=i+
00032450: 4d 61 74 68 2e 69 6d 75 6c 28 43 2c 63 65 29 7c Math.imul(C,ce)|
00032460: 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 0,r=r+Math.imul(
00032470: 77 2c 64 65 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d w,de)|0,o=(o=o+M
00032480: 61 74 68 2e 69 6d 75 6c 28 77 2c 70 65 29 7c 30 ath.imul(w,pe)|0
00032490: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 54 2c 64 65 )+Math.imul(T,de
000324a0: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
000324b0: 6c 28 54 2c 70 65 29 7c 30 3b 76 61 72 20 52 65 l(T,pe)|0;var Re
000324c0: 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 68 2e 69 6d =(c+(r=r+Math.im
000324d0: 75 6c 28 45 2c 6d 65 29 7c 30 29 7c 30 29 2b 28 ul(E,me)|0)|0)+(
000324e0: 28 38 31 39 31 26 28 6f 3d 28 6f 3d 6f 2b 4d 61 (8191&(o=(o=o+Ma
000324f0: 74 68 2e 69 6d 75 6c 28 45 2c 68 65 29 7c 30 29 th.imul(E,he)|0)
00032500: 2b 4d 61 74 68 2e 69 6d 75 6c 28 5f 2c 6d 65 29 +Math.imul(_,me)
00032510: 7c 30 29 29 3c 3c 31 33 29 7c 30 3b 63 3d 28 28 |0))<<13)|0;c=((
00032520: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 5f 2c i=i+Math.imul(_,
00032530: 68 65 29 7c 30 29 2b 28 6f 3e 3e 3e 31 33 29 7c he)|0)+(o>>>13)|
00032540: 30 29 2b 28 52 65 3e 3e 3e 32 36 29 7c 30 2c 52 0)+(Re>>>26)|0,R
00032550: 65 26 3d 36 37 31 30 38 38 36 33 2c 72 3d 4d 61 e&=67108863,r=Ma
00032560: 74 68 2e 69 6d 75 6c 28 55 2c 24 29 2c 6f 3d 28 th.imul(U,$),o=(
00032570: 6f 3d 4d 61 74 68 2e 69 6d 75 6c 28 55 2c 65 65 o=Math.imul(U,ee
00032580: 29 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 42 2c 24 ))+Math.imul(B,$
00032590: 29 7c 30 2c 69 3d 4d 61 74 68 2e 69 6d 75 6c 28 )|0,i=Math.imul(
000325a0: 42 2c 65 65 29 2c 72 3d 72 2b 4d 61 74 68 2e 69 B,ee),r=r+Math.i
000325b0: 6d 75 6c 28 44 2c 6e 65 29 7c 30 2c 6f 3d 28 6f mul(D,ne)|0,o=(o
000325c0: 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 44 2c 72 =o+Math.imul(D,r
000325d0: 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 e)|0)+Math.imul(
000325e0: 4c 2c 6e 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 L,ne)|0,i=i+Math
000325f0: 2e 69 6d 75 6c 28 4c 2c 72 65 29 7c 30 2c 72 3d .imul(L,re)|0,r=
00032600: 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 49 2c 69 65 r+Math.imul(I,ie
00032610: 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e )|0,o=(o=o+Math.
00032620: 69 6d 75 6c 28 49 2c 61 65 29 7c 30 29 2b 4d 61 imul(I,ae)|0)+Ma
00032630: 74 68 2e 69 6d 75 6c 28 4d 2c 69 65 29 7c 30 2c th.imul(M,ie)|0,
00032640: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 4d 2c i=i+Math.imul(M,
00032650: 61 65 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 ae)|0,r=r+Math.i
00032660: 6d 75 6c 28 6b 2c 6c 65 29 7c 30 2c 6f 3d 28 6f mul(k,le)|0,o=(o
00032670: 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 6b 2c 63 =o+Math.imul(k,c
00032680: 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 e)|0)+Math.imul(
00032690: 4f 2c 6c 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 O,le)|0,i=i+Math
000326a0: 2e 69 6d 75 6c 28 4f 2c 63 65 29 7c 30 2c 72 3d .imul(O,ce)|0,r=
000326b0: 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 41 2c 64 65 r+Math.imul(A,de
000326c0: 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e )|0,o=(o=o+Math.
000326d0: 69 6d 75 6c 28 41 2c 70 65 29 7c 30 29 2b 4d 61 imul(A,pe)|0)+Ma
000326e0: 74 68 2e 69 6d 75 6c 28 43 2c 64 65 29 7c 30 2c th.imul(C,de)|0,
000326f0: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 43 2c i=i+Math.imul(C,
00032700: 70 65 29 7c 30 3b 76 61 72 20 6b 65 3d 28 63 2b pe)|0;var ke=(c+
00032710: 28 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 77 (r=r+Math.imul(w
00032720: 2c 6d 65 29 7c 30 29 7c 30 29 2b 28 28 38 31 39 ,me)|0)|0)+((819
00032730: 31 26 28 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 1&(o=(o=o+Math.i
00032740: 6d 75 6c 28 77 2c 68 65 29 7c 30 29 2b 4d 61 74 mul(w,he)|0)+Mat
00032750: 68 2e 69 6d 75 6c 28 54 2c 6d 65 29 7c 30 29 29 h.imul(T,me)|0))
00032760: 3c 3c 31 33 29 7c 30 3b 63 3d 28 28 69 3d 69 2b <<13)|0;c=((i=i+
00032770: 4d 61 74 68 2e 69 6d 75 6c 28 54 2c 68 65 29 7c Math.imul(T,he)|
00032780: 30 29 2b 28 6f 3e 3e 3e 31 33 29 7c 30 29 2b 28 0)+(o>>>13)|0)+(
00032790: 6b 65 3e 3e 3e 32 36 29 7c 30 2c 6b 65 26 3d 36 ke>>>26)|0,ke&=6
000327a0: 37 31 30 38 38 36 33 2c 72 3d 4d 61 74 68 2e 69 7108863,r=Math.i
000327b0: 6d 75 6c 28 55 2c 6e 65 29 2c 6f 3d 28 6f 3d 4d mul(U,ne),o=(o=M
000327c0: 61 74 68 2e 69 6d 75 6c 28 55 2c 72 65 29 29 2b ath.imul(U,re))+
000327d0: 4d 61 74 68 2e 69 6d 75 6c 28 42 2c 6e 65 29 7c Math.imul(B,ne)|
000327e0: 30 2c 69 3d 4d 61 74 68 2e 69 6d 75 6c 28 42 2c 0,i=Math.imul(B,
000327f0: 72 65 29 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 re),r=r+Math.imu
00032800: 6c 28 44 2c 69 65 29 7c 30 2c 6f 3d 28 6f 3d 6f l(D,ie)|0,o=(o=o
00032810: 2b 4d 61 74 68 2e 69 6d 75 6c 28 44 2c 61 65 29 +Math.imul(D,ae)
00032820: 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 4c 2c |0)+Math.imul(L,
00032830: 69 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 ie)|0,i=i+Math.i
00032840: 6d 75 6c 28 4c 2c 61 65 29 7c 30 2c 72 3d 72 2b mul(L,ae)|0,r=r+
00032850: 4d 61 74 68 2e 69 6d 75 6c 28 49 2c 6c 65 29 7c Math.imul(I,le)|
00032860: 30 2c 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 0,o=(o=o+Math.im
00032870: 75 6c 28 49 2c 63 65 29 7c 30 29 2b 4d 61 74 68 ul(I,ce)|0)+Math
00032880: 2e 69 6d 75 6c 28 4d 2c 6c 65 29 7c 30 2c 69 3d .imul(M,le)|0,i=
00032890: 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 4d 2c 63 65 i+Math.imul(M,ce
000328a0: 29 7c 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 )|0,r=r+Math.imu
000328b0: 6c 28 6b 2c 64 65 29 7c 30 2c 6f 3d 28 6f 3d 6f l(k,de)|0,o=(o=o
000328c0: 2b 4d 61 74 68 2e 69 6d 75 6c 28 6b 2c 70 65 29 +Math.imul(k,pe)
000328d0: 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 4f 2c |0)+Math.imul(O,
000328e0: 64 65 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 de)|0,i=i+Math.i
000328f0: 6d 75 6c 28 4f 2c 70 65 29 7c 30 3b 76 61 72 20 mul(O,pe)|0;var
00032900: 4f 65 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 68 2e Oe=(c+(r=r+Math.
00032910: 69 6d 75 6c 28 41 2c 6d 65 29 7c 30 29 7c 30 29 imul(A,me)|0)|0)
00032920: 2b 28 28 38 31 39 31 26 28 6f 3d 28 6f 3d 6f 2b +((8191&(o=(o=o+
00032930: 4d 61 74 68 2e 69 6d 75 6c 28 41 2c 68 65 29 7c Math.imul(A,he)|
00032940: 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 43 2c 6d 0)+Math.imul(C,m
00032950: 65 29 7c 30 29 29 3c 3c 31 33 29 7c 30 3b 63 3d e)|0))<<13)|0;c=
00032960: 28 28 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 ((i=i+Math.imul(
00032970: 43 2c 68 65 29 7c 30 29 2b 28 6f 3e 3e 3e 31 33 C,he)|0)+(o>>>13
00032980: 29 7c 30 29 2b 28 4f 65 3e 3e 3e 32 36 29 7c 30 )|0)+(Oe>>>26)|0
00032990: 2c 4f 65 26 3d 36 37 31 30 38 38 36 33 2c 72 3d ,Oe&=67108863,r=
000329a0: 4d 61 74 68 2e 69 6d 75 6c 28 55 2c 69 65 29 2c Math.imul(U,ie),
000329b0: 6f 3d 28 6f 3d 4d 61 74 68 2e 69 6d 75 6c 28 55 o=(o=Math.imul(U
000329c0: 2c 61 65 29 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 ,ae))+Math.imul(
000329d0: 42 2c 69 65 29 7c 30 2c 69 3d 4d 61 74 68 2e 69 B,ie)|0,i=Math.i
000329e0: 6d 75 6c 28 42 2c 61 65 29 2c 72 3d 72 2b 4d 61 mul(B,ae),r=r+Ma
000329f0: 74 68 2e 69 6d 75 6c 28 44 2c 6c 65 29 7c 30 2c th.imul(D,le)|0,
00032a00: 6f 3d 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c o=(o=o+Math.imul
00032a10: 28 44 2c 63 65 29 7c 30 29 2b 4d 61 74 68 2e 69 (D,ce)|0)+Math.i
00032a20: 6d 75 6c 28 4c 2c 6c 65 29 7c 30 2c 69 3d 69 2b mul(L,le)|0,i=i+
00032a30: 4d 61 74 68 2e 69 6d 75 6c 28 4c 2c 63 65 29 7c Math.imul(L,ce)|
00032a40: 30 2c 72 3d 72 2b 4d 61 74 68 2e 69 6d 75 6c 28 0,r=r+Math.imul(
00032a50: 49 2c 64 65 29 7c 30 2c 6f 3d 28 6f 3d 6f 2b 4d I,de)|0,o=(o=o+M
00032a60: 61 74 68 2e 69 6d 75 6c 28 49 2c 70 65 29 7c 30 ath.imul(I,pe)|0
00032a70: 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 4d 2c 64 65 )+Math.imul(M,de
00032a80: 29 7c 30 2c 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 )|0,i=i+Math.imu
00032a90: 6c 28 4d 2c 70 65 29 7c 30 3b 76 61 72 20 4e 65 l(M,pe)|0;var Ne
00032aa0: 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 68 2e 69 6d =(c+(r=r+Math.im
00032ab0: 75 6c 28 6b 2c 6d 65 29 7c 30 29 7c 30 29 2b 28 ul(k,me)|0)|0)+(
00032ac0: 28 38 31 39 31 26 28 6f 3d 28 6f 3d 6f 2b 4d 61 (8191&(o=(o=o+Ma
00032ad0: 74 68 2e 69 6d 75 6c 28 6b 2c 68 65 29 7c 30 29 th.imul(k,he)|0)
00032ae0: 2b 4d 61 74 68 2e 69 6d 75 6c 28 4f 2c 6d 65 29 +Math.imul(O,me)
00032af0: 7c 30 29 29 3c 3c 31 33 29 7c 30 3b 63 3d 28 28 |0))<<13)|0;c=((
00032b00: 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 6c 28 4f 2c i=i+Math.imul(O,
00032b10: 68 65 29 7c 30 29 2b 28 6f 3e 3e 3e 31 33 29 7c he)|0)+(o>>>13)|
00032b20: 30 29 2b 28 4e 65 3e 3e 3e 32 36 29 7c 30 2c 4e 0)+(Ne>>>26)|0,N
00032b30: 65 26 3d 36 37 31 30 38 38 36 33 2c 72 3d 4d 61 e&=67108863,r=Ma
00032b40: 74 68 2e 69 6d 75 6c 28 55 2c 6c 65 29 2c 6f 3d th.imul(U,le),o=
00032b50: 28 6f 3d 4d 61 74 68 2e 69 6d 75 6c 28 55 2c 63 (o=Math.imul(U,c
00032b60: 65 29 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 42 2c e))+Math.imul(B,
00032b70: 6c 65 29 7c 30 2c 69 3d 4d 61 74 68 2e 69 6d 75 le)|0,i=Math.imu
00032b80: 6c 28 42 2c 63 65 29 2c 72 3d 72 2b 4d 61 74 68 l(B,ce),r=r+Math
00032b90: 2e 69 6d 75 6c 28 44 2c 64 65 29 7c 30 2c 6f 3d .imul(D,de)|0,o=
00032ba0: 28 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 44 (o=o+Math.imul(D
00032bb0: 2c 70 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 ,pe)|0)+Math.imu
00032bc0: 6c 28 4c 2c 64 65 29 7c 30 2c 69 3d 69 2b 4d 61 l(L,de)|0,i=i+Ma
00032bd0: 74 68 2e 69 6d 75 6c 28 4c 2c 70 65 29 7c 30 3b th.imul(L,pe)|0;
00032be0: 76 61 72 20 49 65 3d 28 63 2b 28 72 3d 72 2b 4d var Ie=(c+(r=r+M
00032bf0: 61 74 68 2e 69 6d 75 6c 28 49 2c 6d 65 29 7c 30 ath.imul(I,me)|0
00032c00: 29 7c 30 29 2b 28 28 38 31 39 31 26 28 6f 3d 28 )|0)+((8191&(o=(
00032c10: 6f 3d 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 49 2c o=o+Math.imul(I,
00032c20: 68 65 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c he)|0)+Math.imul
00032c30: 28 4d 2c 6d 65 29 7c 30 29 29 3c 3c 31 33 29 7c (M,me)|0))<<13)|
00032c40: 30 3b 63 3d 28 28 69 3d 69 2b 4d 61 74 68 2e 69 0;c=((i=i+Math.i
00032c50: 6d 75 6c 28 4d 2c 68 65 29 7c 30 29 2b 28 6f 3e mul(M,he)|0)+(o>
00032c60: 3e 3e 31 33 29 7c 30 29 2b 28 49 65 3e 3e 3e 32 >>13)|0)+(Ie>>>2
00032c70: 36 29 7c 30 2c 49 65 26 3d 36 37 31 30 38 38 36 6)|0,Ie&=6710886
00032c80: 33 2c 72 3d 4d 61 74 68 2e 69 6d 75 6c 28 55 2c 3,r=Math.imul(U,
00032c90: 64 65 29 2c 6f 3d 28 6f 3d 4d 61 74 68 2e 69 6d de),o=(o=Math.im
00032ca0: 75 6c 28 55 2c 70 65 29 29 2b 4d 61 74 68 2e 69 ul(U,pe))+Math.i
00032cb0: 6d 75 6c 28 42 2c 64 65 29 7c 30 2c 69 3d 4d 61 mul(B,de)|0,i=Ma
00032cc0: 74 68 2e 69 6d 75 6c 28 42 2c 70 65 29 3b 76 61 th.imul(B,pe);va
00032cd0: 72 20 4d 65 3d 28 63 2b 28 72 3d 72 2b 4d 61 74 r Me=(c+(r=r+Mat
00032ce0: 68 2e 69 6d 75 6c 28 44 2c 6d 65 29 7c 30 29 7c h.imul(D,me)|0)|
00032cf0: 30 29 2b 28 28 38 31 39 31 26 28 6f 3d 28 6f 3d 0)+((8191&(o=(o=
00032d00: 6f 2b 4d 61 74 68 2e 69 6d 75 6c 28 44 2c 68 65 o+Math.imul(D,he
00032d10: 29 7c 30 29 2b 4d 61 74 68 2e 69 6d 75 6c 28 4c )|0)+Math.imul(L
00032d20: 2c 6d 65 29 7c 30 29 29 3c 3c 31 33 29 7c 30 3b ,me)|0))<<13)|0;
00032d30: 63 3d 28 28 69 3d 69 2b 4d 61 74 68 2e 69 6d 75 c=((i=i+Math.imu
00032d40: 6c 28 4c 2c 68 65 29 7c 30 29 2b 28 6f 3e 3e 3e l(L,he)|0)+(o>>>
00032d50: 31 33 29 7c 30 29 2b 28 4d 65 3e 3e 3e 32 36 29 13)|0)+(Me>>>26)
00032d60: 7c 30 2c 4d 65 26 3d 36 37 31 30 38 38 36 33 3b |0,Me&=67108863;
00032d70: 76 61 72 20 50 65 3d 28 63 2b 28 72 3d 4d 61 74 var Pe=(c+(r=Mat
00032d80: 68 2e 69 6d 75 6c 28 55 2c 6d 65 29 29 7c 30 29 h.imul(U,me))|0)
00032d90: 2b 28 28 38 31 39 31 26 28 6f 3d 28 6f 3d 4d 61 +((8191&(o=(o=Ma
00032da0: 74 68 2e 69 6d 75 6c 28 55 2c 68 65 29 29 2b 4d th.imul(U,he))+M
00032db0: 61 74 68 2e 69 6d 75 6c 28 42 2c 6d 65 29 7c 30 ath.imul(B,me)|0
00032dc0: 29 29 3c 3c 31 33 29 7c 30 3b 72 65 74 75 72 6e ))<<13)|0;return
00032dd0: 20 63 3d 28 28 69 3d 4d 61 74 68 2e 69 6d 75 6c c=((i=Math.imul
00032de0: 28 42 2c 68 65 29 29 2b 28 6f 3e 3e 3e 31 33 29 (B,he))+(o>>>13)
00032df0: 7c 30 29 2b 28 50 65 3e 3e 3e 32 36 29 7c 30 2c |0)+(Pe>>>26)|0,
00032e00: 50 65 26 3d 36 37 31 30 38 38 36 33 2c 6c 5b 30 Pe&=67108863,l[0
00032e10: 5d 3d 67 65 2c 6c 5b 31 5d 3d 62 65 2c 6c 5b 32 ]=ge,l[1]=be,l[2
00032e20: 5d 3d 76 65 2c 6c 5b 33 5d 3d 79 65 2c 6c 5b 34 ]=ve,l[3]=ye,l[4
00032e30: 5d 3d 45 65 2c 6c 5b 35 5d 3d 5f 65 2c 6c 5b 36 ]=Ee,l[5]=_e,l[6
00032e40: 5d 3d 53 65 2c 6c 5b 37 5d 3d 77 65 2c 6c 5b 38 ]=Se,l[7]=we,l[8
00032e50: 5d 3d 54 65 2c 6c 5b 39 5d 3d 78 65 2c 6c 5b 31 ]=Te,l[9]=xe,l[1
00032e60: 30 5d 3d 41 65 2c 6c 5b 31 31 5d 3d 43 65 2c 6c 0]=Ae,l[11]=Ce,l
00032e70: 5b 31 32 5d 3d 52 65 2c 6c 5b 31 33 5d 3d 6b 65 [12]=Re,l[13]=ke
00032e80: 2c 6c 5b 31 34 5d 3d 4f 65 2c 6c 5b 31 35 5d 3d ,l[14]=Oe,l[15]=
00032e90: 4e 65 2c 6c 5b 31 36 5d 3d 49 65 2c 6c 5b 31 37 Ne,l[16]=Ie,l[17
00032ea0: 5d 3d 4d 65 2c 6c 5b 31 38 5d 3d 50 65 2c 30 21 ]=Me,l[18]=Pe,0!
00032eb0: 3d 3d 63 26 26 28 6c 5b 31 39 5d 3d 63 2c 6e 2e ==c&&(l[19]=c,n.
00032ec0: 6c 65 6e 67 74 68 2b 2b 29 2c 6e 7d 3b 66 75 6e length++),n};fun
00032ed0: 63 74 69 6f 6e 20 62 28 65 2c 74 2c 6e 29 7b 6e ction b(e,t,n){n
00032ee0: 2e 6e 65 67 61 74 69 76 65 3d 74 2e 6e 65 67 61 .negative=t.nega
00032ef0: 74 69 76 65 5e 65 2e 6e 65 67 61 74 69 76 65 2c tive^e.negative,
00032f00: 6e 2e 6c 65 6e 67 74 68 3d 65 2e 6c 65 6e 67 74 n.length=e.lengt
00032f10: 68 2b 74 2e 6c 65 6e 67 74 68 3b 66 6f 72 28 76 h+t.length;for(v
00032f20: 61 72 20 72 3d 30 2c 6f 3d 30 2c 69 3d 30 3b 69 ar r=0,o=0,i=0;i
00032f30: 3c 6e 2e 6c 65 6e 67 74 68 2d 31 3b 69 2b 2b 29 <n.length-1;i++)
00032f40: 7b 76 61 72 20 61 3d 6f 3b 6f 3d 30 3b 66 6f 72 {var a=o;o=0;for
00032f50: 28 76 61 72 20 73 3d 36 37 31 30 38 38 36 33 26 (var s=67108863&
00032f60: 72 2c 6c 3d 4d 61 74 68 2e 6d 69 6e 28 69 2c 74 r,l=Math.min(i,t
00032f70: 2e 6c 65 6e 67 74 68 2d 31 29 2c 63 3d 4d 61 74 .length-1),c=Mat
00032f80: 68 2e 6d 61 78 28 30 2c 69 2d 65 2e 6c 65 6e 67 h.max(0,i-e.leng
00032f90: 74 68 2b 31 29 3b 63 3c 3d 6c 3b 63 2b 2b 29 7b th+1);c<=l;c++){
00032fa0: 76 61 72 20 75 3d 69 2d 63 2c 64 3d 28 30 7c 65 var u=i-c,d=(0|e
00032fb0: 2e 77 6f 72 64 73 5b 75 5d 29 2a 28 30 7c 74 2e .words[u])*(0|t.
00032fc0: 77 6f 72 64 73 5b 63 5d 29 2c 70 3d 36 37 31 30 words[c]),p=6710
00032fd0: 38 38 36 33 26 64 3b 73 3d 36 37 31 30 38 38 36 8863&d;s=6710886
00032fe0: 33 26 28 70 3d 70 2b 73 7c 30 29 2c 6f 2b 3d 28 3&(p=p+s|0),o+=(
00032ff0: 61 3d 28 61 3d 61 2b 28 64 2f 36 37 31 30 38 38 a=(a=a+(d/671088
00033000: 36 34 7c 30 29 7c 30 29 2b 28 70 3e 3e 3e 32 36 64|0)|0)+(p>>>26
00033010: 29 7c 30 29 3e 3e 3e 32 36 2c 61 26 3d 36 37 31 )|0)>>>26,a&=671
00033020: 30 38 38 36 33 7d 6e 2e 77 6f 72 64 73 5b 69 5d 08863}n.words[i]
00033030: 3d 73 2c 72 3d 61 2c 61 3d 6f 7d 72 65 74 75 72 =s,r=a,a=o}retur
00033040: 6e 20 30 21 3d 3d 72 3f 6e 2e 77 6f 72 64 73 5b n 0!==r?n.words[
00033050: 69 5d 3d 72 3a 6e 2e 6c 65 6e 67 74 68 2d 2d 2c i]=r:n.length--,
00033060: 6e 2e 5f 73 74 72 69 70 28 29 7d 66 75 6e 63 74 n._strip()}funct
00033070: 69 6f 6e 20 76 28 65 2c 74 2c 6e 29 7b 72 65 74 ion v(e,t,n){ret
00033080: 75 72 6e 20 62 28 65 2c 74 2c 6e 29 7d 66 75 6e urn b(e,t,n)}fun
00033090: 63 74 69 6f 6e 20 79 28 65 2c 74 29 7b 74 68 69 ction y(e,t){thi
000330a0: 73 2e 78 3d 65 2c 74 68 69 73 2e 79 3d 74 7d 4d s.x=e,this.y=t}M
000330b0: 61 74 68 2e 69 6d 75 6c 7c 7c 28 67 3d 68 29 2c ath.imul||(g=h),
000330c0: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 6d 75 6c 54 i.prototype.mulT
000330d0: 6f 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b o=function(e,t){
000330e0: 76 61 72 20 6e 3d 74 68 69 73 2e 6c 65 6e 67 74 var n=this.lengt
000330f0: 68 2b 65 2e 6c 65 6e 67 74 68 3b 72 65 74 75 72 h+e.length;retur
00033100: 6e 20 31 30 3d 3d 3d 74 68 69 73 2e 6c 65 6e 67 n 10===this.leng
00033110: 74 68 26 26 31 30 3d 3d 3d 65 2e 6c 65 6e 67 74 th&&10===e.lengt
00033120: 68 3f 67 28 74 68 69 73 2c 65 2c 74 29 3a 6e 3c h?g(this,e,t):n<
00033130: 36 33 3f 68 28 74 68 69 73 2c 65 2c 74 29 3a 6e 63?h(this,e,t):n
00033140: 3c 31 30 32 34 3f 62 28 74 68 69 73 2c 65 2c 74 <1024?b(this,e,t
00033150: 29 3a 76 28 74 68 69 73 2c 65 2c 74 29 7d 2c 79 ):v(this,e,t)},y
00033160: 2e 70 72 6f 74 6f 74 79 70 65 2e 6d 61 6b 65 52 .prototype.makeR
00033170: 42 54 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 66 BT=function(e){f
00033180: 6f 72 28 76 61 72 20 74 3d 6e 65 77 20 41 72 72 or(var t=new Arr
00033190: 61 79 28 65 29 2c 6e 3d 69 2e 70 72 6f 74 6f 74 ay(e),n=i.protot
000331a0: 79 70 65 2e 5f 63 6f 75 6e 74 42 69 74 73 28 65 ype._countBits(e
000331b0: 29 2d 31 2c 72 3d 30 3b 72 3c 65 3b 72 2b 2b 29 )-1,r=0;r<e;r++)
000331c0: 74 5b 72 5d 3d 74 68 69 73 2e 72 65 76 42 69 6e t[r]=this.revBin
000331d0: 28 72 2c 6e 2c 65 29 3b 72 65 74 75 72 6e 20 74 (r,n,e);return t
000331e0: 7d 2c 79 2e 70 72 6f 74 6f 74 79 70 65 2e 72 65 },y.prototype.re
000331f0: 76 42 69 6e 3d 66 75 6e 63 74 69 6f 6e 28 65 2c vBin=function(e,
00033200: 74 2c 6e 29 7b 69 66 28 30 3d 3d 3d 65 7c 7c 65 t,n){if(0===e||e
00033210: 3d 3d 3d 6e 2d 31 29 72 65 74 75 72 6e 20 65 3b ===n-1)return e;
00033220: 66 6f 72 28 76 61 72 20 72 3d 30 2c 6f 3d 30 3b for(var r=0,o=0;
00033230: 6f 3c 74 3b 6f 2b 2b 29 72 7c 3d 28 31 26 65 29 o<t;o++)r|=(1&e)
00033240: 3c 3c 74 2d 6f 2d 31 2c 65 3e 3e 3d 31 3b 72 65 <<t-o-1,e>>=1;re
00033250: 74 75 72 6e 20 72 7d 2c 79 2e 70 72 6f 74 6f 74 turn r},y.protot
00033260: 79 70 65 2e 70 65 72 6d 75 74 65 3d 66 75 6e 63 ype.permute=func
00033270: 74 69 6f 6e 28 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 tion(e,t,n,r,o,i
00033280: 29 7b 66 6f 72 28 76 61 72 20 61 3d 30 3b 61 3c ){for(var a=0;a<
00033290: 69 3b 61 2b 2b 29 72 5b 61 5d 3d 74 5b 65 5b 61 i;a++)r[a]=t[e[a
000332a0: 5d 5d 2c 6f 5b 61 5d 3d 6e 5b 65 5b 61 5d 5d 7d ]],o[a]=n[e[a]]}
000332b0: 2c 79 2e 70 72 6f 74 6f 74 79 70 65 2e 74 72 61 ,y.prototype.tra
000332c0: 6e 73 66 6f 72 6d 3d 66 75 6e 63 74 69 6f 6e 28 nsform=function(
000332d0: 65 2c 74 2c 6e 2c 72 2c 6f 2c 69 29 7b 74 68 69 e,t,n,r,o,i){thi
000332e0: 73 2e 70 65 72 6d 75 74 65 28 69 2c 65 2c 74 2c s.permute(i,e,t,
000332f0: 6e 2c 72 2c 6f 29 3b 66 6f 72 28 76 61 72 20 61 n,r,o);for(var a
00033300: 3d 31 3b 61 3c 6f 3b 61 3c 3c 3d 31 29 66 6f 72 =1;a<o;a<<=1)for
00033310: 28 76 61 72 20 73 3d 61 3c 3c 31 2c 6c 3d 4d 61 (var s=a<<1,l=Ma
00033320: 74 68 2e 63 6f 73 28 32 2a 4d 61 74 68 2e 50 49 th.cos(2*Math.PI
00033330: 2f 73 29 2c 63 3d 4d 61 74 68 2e 73 69 6e 28 32 /s),c=Math.sin(2
00033340: 2a 4d 61 74 68 2e 50 49 2f 73 29 2c 75 3d 30 3b *Math.PI/s),u=0;
00033350: 75 3c 6f 3b 75 2b 3d 73 29 66 6f 72 28 76 61 72 u<o;u+=s)for(var
00033360: 20 64 3d 6c 2c 70 3d 63 2c 66 3d 30 3b 66 3c 61 d=l,p=c,f=0;f<a
00033370: 3b 66 2b 2b 29 7b 76 61 72 20 6d 3d 6e 5b 75 2b ;f++){var m=n[u+
00033380: 66 5d 2c 68 3d 72 5b 75 2b 66 5d 2c 67 3d 6e 5b f],h=r[u+f],g=n[
00033390: 75 2b 66 2b 61 5d 2c 62 3d 72 5b 75 2b 66 2b 61 u+f+a],b=r[u+f+a
000333a0: 5d 2c 76 3d 64 2a 67 2d 70 2a 62 3b 62 3d 64 2a ],v=d*g-p*b;b=d*
000333b0: 62 2b 70 2a 67 2c 67 3d 76 2c 6e 5b 75 2b 66 5d b+p*g,g=v,n[u+f]
000333c0: 3d 6d 2b 67 2c 72 5b 75 2b 66 5d 3d 68 2b 62 2c =m+g,r[u+f]=h+b,
000333d0: 6e 5b 75 2b 66 2b 61 5d 3d 6d 2d 67 2c 72 5b 75 n[u+f+a]=m-g,r[u
000333e0: 2b 66 2b 61 5d 3d 68 2d 62 2c 66 21 3d 3d 73 26 +f+a]=h-b,f!==s&
000333f0: 26 28 76 3d 6c 2a 64 2d 63 2a 70 2c 70 3d 6c 2a &(v=l*d-c*p,p=l*
00033400: 70 2b 63 2a 64 2c 64 3d 76 29 7d 7d 2c 79 2e 70 p+c*d,d=v)}},y.p
00033410: 72 6f 74 6f 74 79 70 65 2e 67 75 65 73 73 4c 65 rototype.guessLe
00033420: 6e 31 33 62 3d 66 75 6e 63 74 69 6f 6e 28 65 2c n13b=function(e,
00033430: 74 29 7b 76 61 72 20 6e 3d 31 7c 4d 61 74 68 2e t){var n=1|Math.
00033440: 6d 61 78 28 74 2c 65 29 2c 72 3d 31 26 6e 2c 6f max(t,e),r=1&n,o
00033450: 3d 30 3b 66 6f 72 28 6e 3d 6e 2f 32 7c 30 3b 6e =0;for(n=n/2|0;n
00033460: 3b 6e 3e 3e 3e 3d 31 29 6f 2b 2b 3b 72 65 74 75 ;n>>>=1)o++;retu
00033470: 72 6e 20 31 3c 3c 6f 2b 31 2b 72 7d 2c 79 2e 70 rn 1<<o+1+r},y.p
00033480: 72 6f 74 6f 74 79 70 65 2e 63 6f 6e 6a 75 67 61 rototype.conjuga
00033490: 74 65 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c te=function(e,t,
000334a0: 6e 29 7b 69 66 28 21 28 6e 3c 3d 31 29 29 66 6f n){if(!(n<=1))fo
000334b0: 72 28 76 61 72 20 72 3d 30 3b 72 3c 6e 2f 32 3b r(var r=0;r<n/2;
000334c0: 72 2b 2b 29 7b 76 61 72 20 6f 3d 65 5b 72 5d 3b r++){var o=e[r];
000334d0: 65 5b 72 5d 3d 65 5b 6e 2d 72 2d 31 5d 2c 65 5b e[r]=e[n-r-1],e[
000334e0: 6e 2d 72 2d 31 5d 3d 6f 2c 6f 3d 74 5b 72 5d 2c n-r-1]=o,o=t[r],
000334f0: 74 5b 72 5d 3d 2d 74 5b 6e 2d 72 2d 31 5d 2c 74 t[r]=-t[n-r-1],t
00033500: 5b 6e 2d 72 2d 31 5d 3d 2d 6f 7d 7d 2c 79 2e 70 [n-r-1]=-o}},y.p
00033510: 72 6f 74 6f 74 79 70 65 2e 6e 6f 72 6d 61 6c 69 rototype.normali
00033520: 7a 65 31 33 62 3d 66 75 6e 63 74 69 6f 6e 28 65 ze13b=function(e
00033530: 2c 74 29 7b 66 6f 72 28 76 61 72 20 6e 3d 30 2c ,t){for(var n=0,
00033540: 72 3d 30 3b 72 3c 74 2f 32 3b 72 2b 2b 29 7b 76 r=0;r<t/2;r++){v
00033550: 61 72 20 6f 3d 38 31 39 32 2a 4d 61 74 68 2e 72 ar o=8192*Math.r
00033560: 6f 75 6e 64 28 65 5b 32 2a 72 2b 31 5d 2f 74 29 ound(e[2*r+1]/t)
00033570: 2b 4d 61 74 68 2e 72 6f 75 6e 64 28 65 5b 32 2a +Math.round(e[2*
00033580: 72 5d 2f 74 29 2b 6e 3b 65 5b 72 5d 3d 36 37 31 r]/t)+n;e[r]=671
00033590: 30 38 38 36 33 26 6f 2c 6e 3d 6f 3c 36 37 31 30 08863&o,n=o<6710
000335a0: 38 38 36 34 3f 30 3a 6f 2f 36 37 31 30 38 38 36 8864?0:o/6710886
000335b0: 34 7c 30 7d 72 65 74 75 72 6e 20 65 7d 2c 79 2e 4|0}return e},y.
000335c0: 70 72 6f 74 6f 74 79 70 65 2e 63 6f 6e 76 65 72 prototype.conver
000335d0: 74 31 33 62 3d 66 75 6e 63 74 69 6f 6e 28 65 2c t13b=function(e,
000335e0: 74 2c 6e 2c 6f 29 7b 66 6f 72 28 76 61 72 20 69 t,n,o){for(var i
000335f0: 3d 30 2c 61 3d 30 3b 61 3c 74 3b 61 2b 2b 29 69 =0,a=0;a<t;a++)i
00033600: 2b 3d 30 7c 65 5b 61 5d 2c 6e 5b 32 2a 61 5d 3d +=0|e[a],n[2*a]=
00033610: 38 31 39 31 26 69 2c 69 3e 3e 3e 3d 31 33 2c 6e 8191&i,i>>>=13,n
00033620: 5b 32 2a 61 2b 31 5d 3d 38 31 39 31 26 69 2c 69 [2*a+1]=8191&i,i
00033630: 3e 3e 3e 3d 31 33 3b 66 6f 72 28 61 3d 32 2a 74 >>>=13;for(a=2*t
00033640: 3b 61 3c 6f 3b 2b 2b 61 29 6e 5b 61 5d 3d 30 3b ;a<o;++a)n[a]=0;
00033650: 72 28 30 3d 3d 3d 69 29 2c 72 28 30 3d 3d 28 2d r(0===i),r(0==(-
00033660: 38 31 39 32 26 69 29 29 7d 2c 79 2e 70 72 6f 74 8192&i))},y.prot
00033670: 6f 74 79 70 65 2e 73 74 75 62 3d 66 75 6e 63 74 otype.stub=funct
00033680: 69 6f 6e 28 65 29 7b 66 6f 72 28 76 61 72 20 74 ion(e){for(var t
00033690: 3d 6e 65 77 20 41 72 72 61 79 28 65 29 2c 6e 3d =new Array(e),n=
000336a0: 30 3b 6e 3c 65 3b 6e 2b 2b 29 74 5b 6e 5d 3d 30 0;n<e;n++)t[n]=0
000336b0: 3b 72 65 74 75 72 6e 20 74 7d 2c 79 2e 70 72 6f ;return t},y.pro
000336c0: 74 6f 74 79 70 65 2e 6d 75 6c 70 3d 66 75 6e 63 totype.mulp=func
000336d0: 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b 76 61 72 20 tion(e,t,n){var
000336e0: 72 3d 32 2a 74 68 69 73 2e 67 75 65 73 73 4c 65 r=2*this.guessLe
000336f0: 6e 31 33 62 28 65 2e 6c 65 6e 67 74 68 2c 74 2e n13b(e.length,t.
00033700: 6c 65 6e 67 74 68 29 2c 6f 3d 74 68 69 73 2e 6d length),o=this.m
00033710: 61 6b 65 52 42 54 28 72 29 2c 69 3d 74 68 69 73 akeRBT(r),i=this
00033720: 2e 73 74 75 62 28 72 29 2c 61 3d 6e 65 77 20 41 .stub(r),a=new A
00033730: 72 72 61 79 28 72 29 2c 73 3d 6e 65 77 20 41 72 rray(r),s=new Ar
00033740: 72 61 79 28 72 29 2c 6c 3d 6e 65 77 20 41 72 72 ray(r),l=new Arr
00033750: 61 79 28 72 29 2c 63 3d 6e 65 77 20 41 72 72 61 ay(r),c=new Arra
00033760: 79 28 72 29 2c 75 3d 6e 65 77 20 41 72 72 61 79 y(r),u=new Array
00033770: 28 72 29 2c 64 3d 6e 65 77 20 41 72 72 61 79 28 (r),d=new Array(
00033780: 72 29 2c 70 3d 6e 2e 77 6f 72 64 73 3b 70 2e 6c r),p=n.words;p.l
00033790: 65 6e 67 74 68 3d 72 2c 74 68 69 73 2e 63 6f 6e ength=r,this.con
000337a0: 76 65 72 74 31 33 62 28 65 2e 77 6f 72 64 73 2c vert13b(e.words,
000337b0: 65 2e 6c 65 6e 67 74 68 2c 61 2c 72 29 2c 74 68 e.length,a,r),th
000337c0: 69 73 2e 63 6f 6e 76 65 72 74 31 33 62 28 74 2e is.convert13b(t.
000337d0: 77 6f 72 64 73 2c 74 2e 6c 65 6e 67 74 68 2c 63 words,t.length,c
000337e0: 2c 72 29 2c 74 68 69 73 2e 74 72 61 6e 73 66 6f ,r),this.transfo
000337f0: 72 6d 28 61 2c 69 2c 73 2c 6c 2c 72 2c 6f 29 2c rm(a,i,s,l,r,o),
00033800: 74 68 69 73 2e 74 72 61 6e 73 66 6f 72 6d 28 63 this.transform(c
00033810: 2c 69 2c 75 2c 64 2c 72 2c 6f 29 3b 66 6f 72 28 ,i,u,d,r,o);for(
00033820: 76 61 72 20 66 3d 30 3b 66 3c 72 3b 66 2b 2b 29 var f=0;f<r;f++)
00033830: 7b 76 61 72 20 6d 3d 73 5b 66 5d 2a 75 5b 66 5d {var m=s[f]*u[f]
00033840: 2d 6c 5b 66 5d 2a 64 5b 66 5d 3b 6c 5b 66 5d 3d -l[f]*d[f];l[f]=
00033850: 73 5b 66 5d 2a 64 5b 66 5d 2b 6c 5b 66 5d 2a 75 s[f]*d[f]+l[f]*u
00033860: 5b 66 5d 2c 73 5b 66 5d 3d 6d 7d 72 65 74 75 72 [f],s[f]=m}retur
00033870: 6e 20 74 68 69 73 2e 63 6f 6e 6a 75 67 61 74 65 n this.conjugate
00033880: 28 73 2c 6c 2c 72 29 2c 74 68 69 73 2e 74 72 61 (s,l,r),this.tra
00033890: 6e 73 66 6f 72 6d 28 73 2c 6c 2c 70 2c 69 2c 72 nsform(s,l,p,i,r
000338a0: 2c 6f 29 2c 74 68 69 73 2e 63 6f 6e 6a 75 67 61 ,o),this.conjuga
000338b0: 74 65 28 70 2c 69 2c 72 29 2c 74 68 69 73 2e 6e te(p,i,r),this.n
000338c0: 6f 72 6d 61 6c 69 7a 65 31 33 62 28 70 2c 72 29 ormalize13b(p,r)
000338d0: 2c 6e 2e 6e 65 67 61 74 69 76 65 3d 65 2e 6e 65 ,n.negative=e.ne
000338e0: 67 61 74 69 76 65 5e 74 2e 6e 65 67 61 74 69 76 gative^t.negativ
000338f0: 65 2c 6e 2e 6c 65 6e 67 74 68 3d 65 2e 6c 65 6e e,n.length=e.len
00033900: 67 74 68 2b 74 2e 6c 65 6e 67 74 68 2c 6e 2e 5f gth+t.length,n._
00033910: 73 74 72 69 70 28 29 7d 2c 69 2e 70 72 6f 74 6f strip()},i.proto
00033920: 74 79 70 65 2e 6d 75 6c 3d 66 75 6e 63 74 69 6f type.mul=functio
00033930: 6e 28 65 29 7b 76 61 72 20 74 3d 6e 65 77 20 69 n(e){var t=new i
00033940: 28 6e 75 6c 6c 29 3b 72 65 74 75 72 6e 20 74 2e (null);return t.
00033950: 77 6f 72 64 73 3d 6e 65 77 20 41 72 72 61 79 28 words=new Array(
00033960: 74 68 69 73 2e 6c 65 6e 67 74 68 2b 65 2e 6c 65 this.length+e.le
00033970: 6e 67 74 68 29 2c 74 68 69 73 2e 6d 75 6c 54 6f ngth),this.mulTo
00033980: 28 65 2c 74 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 (e,t)},i.prototy
00033990: 70 65 2e 6d 75 6c 66 3d 66 75 6e 63 74 69 6f 6e pe.mulf=function
000339a0: 28 65 29 7b 76 61 72 20 74 3d 6e 65 77 20 69 28 (e){var t=new i(
000339b0: 6e 75 6c 6c 29 3b 72 65 74 75 72 6e 20 74 2e 77 null);return t.w
000339c0: 6f 72 64 73 3d 6e 65 77 20 41 72 72 61 79 28 74 ords=new Array(t
000339d0: 68 69 73 2e 6c 65 6e 67 74 68 2b 65 2e 6c 65 6e his.length+e.len
000339e0: 67 74 68 29 2c 76 28 74 68 69 73 2c 65 2c 74 29 gth),v(this,e,t)
000339f0: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 69 6d },i.prototype.im
00033a00: 75 6c 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 ul=function(e){r
00033a10: 65 74 75 72 6e 20 74 68 69 73 2e 63 6c 6f 6e 65 eturn this.clone
00033a20: 28 29 2e 6d 75 6c 54 6f 28 65 2c 74 68 69 73 29 ().mulTo(e,this)
00033a30: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 69 6d },i.prototype.im
00033a40: 75 6c 6e 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b uln=function(e){
00033a50: 76 61 72 20 74 3d 65 3c 30 3b 74 26 26 28 65 3d var t=e<0;t&&(e=
00033a60: 2d 65 29 2c 72 28 22 6e 75 6d 62 65 72 22 3d 3d -e),r("number"==
00033a70: 74 79 70 65 6f 66 20 65 29 2c 72 28 65 3c 36 37 typeof e),r(e<67
00033a80: 31 30 38 38 36 34 29 3b 66 6f 72 28 76 61 72 20 108864);for(var
00033a90: 6e 3d 30 2c 6f 3d 30 3b 6f 3c 74 68 69 73 2e 6c n=0,o=0;o<this.l
00033aa0: 65 6e 67 74 68 3b 6f 2b 2b 29 7b 76 61 72 20 69 ength;o++){var i
00033ab0: 3d 28 30 7c 74 68 69 73 2e 77 6f 72 64 73 5b 6f =(0|this.words[o
00033ac0: 5d 29 2a 65 2c 61 3d 28 36 37 31 30 38 38 36 33 ])*e,a=(67108863
00033ad0: 26 69 29 2b 28 36 37 31 30 38 38 36 33 26 6e 29 &i)+(67108863&n)
00033ae0: 3b 6e 3e 3e 3d 32 36 2c 6e 2b 3d 69 2f 36 37 31 ;n>>=26,n+=i/671
00033af0: 30 38 38 36 34 7c 30 2c 6e 2b 3d 61 3e 3e 3e 32 08864|0,n+=a>>>2
00033b00: 36 2c 74 68 69 73 2e 77 6f 72 64 73 5b 6f 5d 3d 6,this.words[o]=
00033b10: 36 37 31 30 38 38 36 33 26 61 7d 72 65 74 75 72 67108863&a}retur
00033b20: 6e 20 30 21 3d 3d 6e 26 26 28 74 68 69 73 2e 77 n 0!==n&&(this.w
00033b30: 6f 72 64 73 5b 6f 5d 3d 6e 2c 74 68 69 73 2e 6c ords[o]=n,this.l
00033b40: 65 6e 67 74 68 2b 2b 29 2c 74 3f 74 68 69 73 2e ength++),t?this.
00033b50: 69 6e 65 67 28 29 3a 74 68 69 73 7d 2c 69 2e 70 ineg():this},i.p
00033b60: 72 6f 74 6f 74 79 70 65 2e 6d 75 6c 6e 3d 66 75 rototype.muln=fu
00033b70: 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e nction(e){return
00033b80: 20 74 68 69 73 2e 63 6c 6f 6e 65 28 29 2e 69 6d this.clone().im
00033b90: 75 6c 6e 28 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 uln(e)},i.protot
00033ba0: 79 70 65 2e 73 71 72 3d 66 75 6e 63 74 69 6f 6e ype.sqr=function
00033bb0: 28 29 7b 72 65 74 75 72 6e 20 74 68 69 73 2e 6d (){return this.m
00033bc0: 75 6c 28 74 68 69 73 29 7d 2c 69 2e 70 72 6f 74 ul(this)},i.prot
00033bd0: 6f 74 79 70 65 2e 69 73 71 72 3d 66 75 6e 63 74 otype.isqr=funct
00033be0: 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 74 68 69 ion(){return thi
00033bf0: 73 2e 69 6d 75 6c 28 74 68 69 73 2e 63 6c 6f 6e s.imul(this.clon
00033c00: 65 28 29 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 e())},i.prototyp
00033c10: 65 2e 70 6f 77 3d 66 75 6e 63 74 69 6f 6e 28 65 e.pow=function(e
00033c20: 29 7b 76 61 72 20 74 3d 66 75 6e 63 74 69 6f 6e ){var t=function
00033c30: 28 65 29 7b 66 6f 72 28 76 61 72 20 74 3d 6e 65 (e){for(var t=ne
00033c40: 77 20 41 72 72 61 79 28 65 2e 62 69 74 4c 65 6e w Array(e.bitLen
00033c50: 67 74 68 28 29 29 2c 6e 3d 30 3b 6e 3c 74 2e 6c gth()),n=0;n<t.l
00033c60: 65 6e 67 74 68 3b 6e 2b 2b 29 7b 76 61 72 20 72 ength;n++){var r
00033c70: 3d 6e 2f 32 36 7c 30 2c 6f 3d 6e 25 32 36 3b 74 =n/26|0,o=n%26;t
00033c80: 5b 6e 5d 3d 65 2e 77 6f 72 64 73 5b 72 5d 3e 3e [n]=e.words[r]>>
00033c90: 3e 6f 26 31 7d 72 65 74 75 72 6e 20 74 7d 28 65 >o&1}return t}(e
00033ca0: 29 3b 69 66 28 30 3d 3d 3d 74 2e 6c 65 6e 67 74 );if(0===t.lengt
00033cb0: 68 29 72 65 74 75 72 6e 20 6e 65 77 20 69 28 31 h)return new i(1
00033cc0: 29 3b 66 6f 72 28 76 61 72 20 6e 3d 74 68 69 73 );for(var n=this
00033cd0: 2c 72 3d 30 3b 72 3c 74 2e 6c 65 6e 67 74 68 26 ,r=0;r<t.length&
00033ce0: 26 30 3d 3d 3d 74 5b 72 5d 3b 72 2b 2b 2c 6e 3d &0===t[r];r++,n=
00033cf0: 6e 2e 73 71 72 28 29 29 3b 69 66 28 2b 2b 72 3c n.sqr());if(++r<
00033d00: 74 2e 6c 65 6e 67 74 68 29 66 6f 72 28 76 61 72 t.length)for(var
00033d10: 20 6f 3d 6e 2e 73 71 72 28 29 3b 72 3c 74 2e 6c o=n.sqr();r<t.l
00033d20: 65 6e 67 74 68 3b 72 2b 2b 2c 6f 3d 6f 2e 73 71 ength;r++,o=o.sq
00033d30: 72 28 29 29 30 21 3d 3d 74 5b 72 5d 26 26 28 6e r())0!==t[r]&&(n
00033d40: 3d 6e 2e 6d 75 6c 28 6f 29 29 3b 72 65 74 75 72 =n.mul(o));retur
00033d50: 6e 20 6e 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 n n},i.prototype
00033d60: 2e 69 75 73 68 6c 6e 3d 66 75 6e 63 74 69 6f 6e .iushln=function
00033d70: 28 65 29 7b 72 28 22 6e 75 6d 62 65 72 22 3d 3d (e){r("number"==
00033d80: 74 79 70 65 6f 66 20 65 26 26 65 3e 3d 30 29 3b typeof e&&e>=0);
00033d90: 76 61 72 20 74 2c 6e 3d 65 25 32 36 2c 6f 3d 28 var t,n=e%26,o=(
00033da0: 65 2d 6e 29 2f 32 36 2c 69 3d 36 37 31 30 38 38 e-n)/26,i=671088
00033db0: 36 33 3e 3e 3e 32 36 2d 6e 3c 3c 32 36 2d 6e 3b 63>>>26-n<<26-n;
00033dc0: 69 66 28 30 21 3d 3d 6e 29 7b 76 61 72 20 61 3d if(0!==n){var a=
00033dd0: 30 3b 66 6f 72 28 74 3d 30 3b 74 3c 74 68 69 73 0;for(t=0;t<this
00033de0: 2e 6c 65 6e 67 74 68 3b 74 2b 2b 29 7b 76 61 72 .length;t++){var
00033df0: 20 73 3d 74 68 69 73 2e 77 6f 72 64 73 5b 74 5d s=this.words[t]
00033e00: 26 69 2c 6c 3d 28 30 7c 74 68 69 73 2e 77 6f 72 &i,l=(0|this.wor
00033e10: 64 73 5b 74 5d 29 2d 73 3c 3c 6e 3b 74 68 69 73 ds[t])-s<<n;this
00033e20: 2e 77 6f 72 64 73 5b 74 5d 3d 6c 7c 61 2c 61 3d .words[t]=l|a,a=
00033e30: 73 3e 3e 3e 32 36 2d 6e 7d 61 26 26 28 74 68 69 s>>>26-n}a&&(thi
00033e40: 73 2e 77 6f 72 64 73 5b 74 5d 3d 61 2c 74 68 69 s.words[t]=a,thi
00033e50: 73 2e 6c 65 6e 67 74 68 2b 2b 29 7d 69 66 28 30 s.length++)}if(0
00033e60: 21 3d 3d 6f 29 7b 66 6f 72 28 74 3d 74 68 69 73 !==o){for(t=this
00033e70: 2e 6c 65 6e 67 74 68 2d 31 3b 74 3e 3d 30 3b 74 .length-1;t>=0;t
00033e80: 2d 2d 29 74 68 69 73 2e 77 6f 72 64 73 5b 74 2b --)this.words[t+
00033e90: 6f 5d 3d 74 68 69 73 2e 77 6f 72 64 73 5b 74 5d o]=this.words[t]
00033ea0: 3b 66 6f 72 28 74 3d 30 3b 74 3c 6f 3b 74 2b 2b ;for(t=0;t<o;t++
00033eb0: 29 74 68 69 73 2e 77 6f 72 64 73 5b 74 5d 3d 30 )this.words[t]=0
00033ec0: 3b 74 68 69 73 2e 6c 65 6e 67 74 68 2b 3d 6f 7d ;this.length+=o}
00033ed0: 72 65 74 75 72 6e 20 74 68 69 73 2e 5f 73 74 72 return this._str
00033ee0: 69 70 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 ip()},i.prototyp
00033ef0: 65 2e 69 73 68 6c 6e 3d 66 75 6e 63 74 69 6f 6e e.ishln=function
00033f00: 28 65 29 7b 72 65 74 75 72 6e 20 72 28 30 3d 3d (e){return r(0==
00033f10: 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 65 29 2c =this.negative),
00033f20: 74 68 69 73 2e 69 75 73 68 6c 6e 28 65 29 7d 2c this.iushln(e)},
00033f30: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 69 75 73 68 i.prototype.iush
00033f40: 72 6e 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c rn=function(e,t,
00033f50: 6e 29 7b 76 61 72 20 6f 3b 72 28 22 6e 75 6d 62 n){var o;r("numb
00033f60: 65 72 22 3d 3d 74 79 70 65 6f 66 20 65 26 26 65 er"==typeof e&&e
00033f70: 3e 3d 30 29 2c 6f 3d 74 3f 28 74 2d 74 25 32 36 >=0),o=t?(t-t%26
00033f80: 29 2f 32 36 3a 30 3b 76 61 72 20 69 3d 65 25 32 )/26:0;var i=e%2
00033f90: 36 2c 61 3d 4d 61 74 68 2e 6d 69 6e 28 28 65 2d 6,a=Math.min((e-
00033fa0: 69 29 2f 32 36 2c 74 68 69 73 2e 6c 65 6e 67 74 i)/26,this.lengt
00033fb0: 68 29 2c 73 3d 36 37 31 30 38 38 36 33 5e 36 37 h),s=67108863^67
00033fc0: 31 30 38 38 36 33 3e 3e 3e 69 3c 3c 69 2c 6c 3d 108863>>>i<<i,l=
00033fd0: 6e 3b 69 66 28 6f 2d 3d 61 2c 6f 3d 4d 61 74 68 n;if(o-=a,o=Math
00033fe0: 2e 6d 61 78 28 30 2c 6f 29 2c 6c 29 7b 66 6f 72 .max(0,o),l){for
00033ff0: 28 76 61 72 20 63 3d 30 3b 63 3c 61 3b 63 2b 2b (var c=0;c<a;c++
00034000: 29 6c 2e 77 6f 72 64 73 5b 63 5d 3d 74 68 69 73 )l.words[c]=this
00034010: 2e 77 6f 72 64 73 5b 63 5d 3b 6c 2e 6c 65 6e 67 .words[c];l.leng
00034020: 74 68 3d 61 7d 69 66 28 30 3d 3d 3d 61 29 3b 65 th=a}if(0===a);e
00034030: 6c 73 65 20 69 66 28 74 68 69 73 2e 6c 65 6e 67 lse if(this.leng
00034040: 74 68 3e 61 29 66 6f 72 28 74 68 69 73 2e 6c 65 th>a)for(this.le
00034050: 6e 67 74 68 2d 3d 61 2c 63 3d 30 3b 63 3c 74 68 ngth-=a,c=0;c<th
00034060: 69 73 2e 6c 65 6e 67 74 68 3b 63 2b 2b 29 74 68 is.length;c++)th
00034070: 69 73 2e 77 6f 72 64 73 5b 63 5d 3d 74 68 69 73 is.words[c]=this
00034080: 2e 77 6f 72 64 73 5b 63 2b 61 5d 3b 65 6c 73 65 .words[c+a];else
00034090: 20 74 68 69 73 2e 77 6f 72 64 73 5b 30 5d 3d 30 this.words[0]=0
000340a0: 2c 74 68 69 73 2e 6c 65 6e 67 74 68 3d 31 3b 76 ,this.length=1;v
000340b0: 61 72 20 75 3d 30 3b 66 6f 72 28 63 3d 74 68 69 ar u=0;for(c=thi
000340c0: 73 2e 6c 65 6e 67 74 68 2d 31 3b 63 3e 3d 30 26 s.length-1;c>=0&
000340d0: 26 28 30 21 3d 3d 75 7c 7c 63 3e 3d 6f 29 3b 63 &(0!==u||c>=o);c
000340e0: 2d 2d 29 7b 76 61 72 20 64 3d 30 7c 74 68 69 73 --){var d=0|this
000340f0: 2e 77 6f 72 64 73 5b 63 5d 3b 74 68 69 73 2e 77 .words[c];this.w
00034100: 6f 72 64 73 5b 63 5d 3d 75 3c 3c 32 36 2d 69 7c ords[c]=u<<26-i|
00034110: 64 3e 3e 3e 69 2c 75 3d 64 26 73 7d 72 65 74 75 d>>>i,u=d&s}retu
00034120: 72 6e 20 6c 26 26 30 21 3d 3d 75 26 26 28 6c 2e rn l&&0!==u&&(l.
00034130: 77 6f 72 64 73 5b 6c 2e 6c 65 6e 67 74 68 2b 2b words[l.length++
00034140: 5d 3d 75 29 2c 30 3d 3d 3d 74 68 69 73 2e 6c 65 ]=u),0===this.le
00034150: 6e 67 74 68 26 26 28 74 68 69 73 2e 77 6f 72 64 ngth&&(this.word
00034160: 73 5b 30 5d 3d 30 2c 74 68 69 73 2e 6c 65 6e 67 s[0]=0,this.leng
00034170: 74 68 3d 31 29 2c 74 68 69 73 2e 5f 73 74 72 69 th=1),this._stri
00034180: 70 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 p()},i.prototype
00034190: 2e 69 73 68 72 6e 3d 66 75 6e 63 74 69 6f 6e 28 .ishrn=function(
000341a0: 65 2c 74 2c 6e 29 7b 72 65 74 75 72 6e 20 72 28 e,t,n){return r(
000341b0: 30 3d 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 0===this.negativ
000341c0: 65 29 2c 74 68 69 73 2e 69 75 73 68 72 6e 28 65 e),this.iushrn(e
000341d0: 2c 74 2c 6e 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 ,t,n)},i.prototy
000341e0: 70 65 2e 73 68 6c 6e 3d 66 75 6e 63 74 69 6f 6e pe.shln=function
000341f0: 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 73 2e (e){return this.
00034200: 63 6c 6f 6e 65 28 29 2e 69 73 68 6c 6e 28 65 29 clone().ishln(e)
00034210: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 75 73 },i.prototype.us
00034220: 68 6c 6e 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b hln=function(e){
00034230: 72 65 74 75 72 6e 20 74 68 69 73 2e 63 6c 6f 6e return this.clon
00034240: 65 28 29 2e 69 75 73 68 6c 6e 28 65 29 7d 2c 69 e().iushln(e)},i
00034250: 2e 70 72 6f 74 6f 74 79 70 65 2e 73 68 72 6e 3d .prototype.shrn=
00034260: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 function(e){retu
00034270: 72 6e 20 74 68 69 73 2e 63 6c 6f 6e 65 28 29 2e rn this.clone().
00034280: 69 73 68 72 6e 28 65 29 7d 2c 69 2e 70 72 6f 74 ishrn(e)},i.prot
00034290: 6f 74 79 70 65 2e 75 73 68 72 6e 3d 66 75 6e 63 otype.ushrn=func
000342a0: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 tion(e){return t
000342b0: 68 69 73 2e 63 6c 6f 6e 65 28 29 2e 69 75 73 68 his.clone().iush
000342c0: 72 6e 28 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 rn(e)},i.prototy
000342d0: 70 65 2e 74 65 73 74 6e 3d 66 75 6e 63 74 69 6f pe.testn=functio
000342e0: 6e 28 65 29 7b 72 28 22 6e 75 6d 62 65 72 22 3d n(e){r("number"=
000342f0: 3d 74 79 70 65 6f 66 20 65 26 26 65 3e 3d 30 29 =typeof e&&e>=0)
00034300: 3b 76 61 72 20 74 3d 65 25 32 36 2c 6e 3d 28 65 ;var t=e%26,n=(e
00034310: 2d 74 29 2f 32 36 2c 6f 3d 31 3c 3c 74 3b 72 65 -t)/26,o=1<<t;re
00034320: 74 75 72 6e 21 28 74 68 69 73 2e 6c 65 6e 67 74 turn!(this.lengt
00034330: 68 3c 3d 6e 29 26 26 21 21 28 74 68 69 73 2e 77 h<=n)&&!!(this.w
00034340: 6f 72 64 73 5b 6e 5d 26 6f 29 7d 2c 69 2e 70 72 ords[n]&o)},i.pr
00034350: 6f 74 6f 74 79 70 65 2e 69 6d 61 73 6b 6e 3d 66 ototype.imaskn=f
00034360: 75 6e 63 74 69 6f 6e 28 65 29 7b 72 28 22 6e 75 unction(e){r("nu
00034370: 6d 62 65 72 22 3d 3d 74 79 70 65 6f 66 20 65 26 mber"==typeof e&
00034380: 26 65 3e 3d 30 29 3b 76 61 72 20 74 3d 65 25 32 &e>=0);var t=e%2
00034390: 36 2c 6e 3d 28 65 2d 74 29 2f 32 36 3b 69 66 28 6,n=(e-t)/26;if(
000343a0: 72 28 30 3d 3d 3d 74 68 69 73 2e 6e 65 67 61 74 r(0===this.negat
000343b0: 69 76 65 2c 22 69 6d 61 73 6b 6e 20 77 6f 72 6b ive,"imaskn work
000343c0: 73 20 6f 6e 6c 79 20 77 69 74 68 20 70 6f 73 69 s only with posi
000343d0: 74 69 76 65 20 6e 75 6d 62 65 72 73 22 29 2c 74 tive numbers"),t
000343e0: 68 69 73 2e 6c 65 6e 67 74 68 3c 3d 6e 29 72 65 his.length<=n)re
000343f0: 74 75 72 6e 20 74 68 69 73 3b 69 66 28 30 21 3d turn this;if(0!=
00034400: 3d 74 26 26 6e 2b 2b 2c 74 68 69 73 2e 6c 65 6e =t&&n++,this.len
00034410: 67 74 68 3d 4d 61 74 68 2e 6d 69 6e 28 6e 2c 74 gth=Math.min(n,t
00034420: 68 69 73 2e 6c 65 6e 67 74 68 29 2c 30 21 3d 3d his.length),0!==
00034430: 74 29 7b 76 61 72 20 6f 3d 36 37 31 30 38 38 36 t){var o=6710886
00034440: 33 5e 36 37 31 30 38 38 36 33 3e 3e 3e 74 3c 3c 3^67108863>>>t<<
00034450: 74 3b 74 68 69 73 2e 77 6f 72 64 73 5b 74 68 69 t;this.words[thi
00034460: 73 2e 6c 65 6e 67 74 68 2d 31 5d 26 3d 6f 7d 72 s.length-1]&=o}r
00034470: 65 74 75 72 6e 20 74 68 69 73 2e 5f 73 74 72 69 eturn this._stri
00034480: 70 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 p()},i.prototype
00034490: 2e 6d 61 73 6b 6e 3d 66 75 6e 63 74 69 6f 6e 28 .maskn=function(
000344a0: 65 29 7b 72 65 74 75 72 6e 20 74 68 69 73 2e 63 e){return this.c
000344b0: 6c 6f 6e 65 28 29 2e 69 6d 61 73 6b 6e 28 65 29 lone().imaskn(e)
000344c0: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 69 61 },i.prototype.ia
000344d0: 64 64 6e 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b ddn=function(e){
000344e0: 72 65 74 75 72 6e 20 72 28 22 6e 75 6d 62 65 72 return r("number
000344f0: 22 3d 3d 74 79 70 65 6f 66 20 65 29 2c 72 28 65 "==typeof e),r(e
00034500: 3c 36 37 31 30 38 38 36 34 29 2c 65 3c 30 3f 74 <67108864),e<0?t
00034510: 68 69 73 2e 69 73 75 62 6e 28 2d 65 29 3a 30 21 his.isubn(-e):0!
00034520: 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 65 3f ==this.negative?
00034530: 31 3d 3d 3d 74 68 69 73 2e 6c 65 6e 67 74 68 26 1===this.length&
00034540: 26 28 30 7c 74 68 69 73 2e 77 6f 72 64 73 5b 30 &(0|this.words[0
00034550: 5d 29 3c 3d 65 3f 28 74 68 69 73 2e 77 6f 72 64 ])<=e?(this.word
00034560: 73 5b 30 5d 3d 65 2d 28 30 7c 74 68 69 73 2e 77 s[0]=e-(0|this.w
00034570: 6f 72 64 73 5b 30 5d 29 2c 74 68 69 73 2e 6e 65 ords[0]),this.ne
00034580: 67 61 74 69 76 65 3d 30 2c 74 68 69 73 29 3a 28 gative=0,this):(
00034590: 74 68 69 73 2e 6e 65 67 61 74 69 76 65 3d 30 2c this.negative=0,
000345a0: 74 68 69 73 2e 69 73 75 62 6e 28 65 29 2c 74 68 this.isubn(e),th
000345b0: 69 73 2e 6e 65 67 61 74 69 76 65 3d 31 2c 74 68 is.negative=1,th
000345c0: 69 73 29 3a 74 68 69 73 2e 5f 69 61 64 64 6e 28 is):this._iaddn(
000345d0: 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e e)},i.prototype.
000345e0: 5f 69 61 64 64 6e 3d 66 75 6e 63 74 69 6f 6e 28 _iaddn=function(
000345f0: 65 29 7b 74 68 69 73 2e 77 6f 72 64 73 5b 30 5d e){this.words[0]
00034600: 2b 3d 65 3b 66 6f 72 28 76 61 72 20 74 3d 30 3b +=e;for(var t=0;
00034610: 74 3c 74 68 69 73 2e 6c 65 6e 67 74 68 26 26 74 t<this.length&&t
00034620: 68 69 73 2e 77 6f 72 64 73 5b 74 5d 3e 3d 36 37 his.words[t]>=67
00034630: 31 30 38 38 36 34 3b 74 2b 2b 29 74 68 69 73 2e 108864;t++)this.
00034640: 77 6f 72 64 73 5b 74 5d 2d 3d 36 37 31 30 38 38 words[t]-=671088
00034650: 36 34 2c 74 3d 3d 3d 74 68 69 73 2e 6c 65 6e 67 64,t===this.leng
00034660: 74 68 2d 31 3f 74 68 69 73 2e 77 6f 72 64 73 5b th-1?this.words[
00034670: 74 2b 31 5d 3d 31 3a 74 68 69 73 2e 77 6f 72 64 t+1]=1:this.word
00034680: 73 5b 74 2b 31 5d 2b 2b 3b 72 65 74 75 72 6e 20 s[t+1]++;return
00034690: 74 68 69 73 2e 6c 65 6e 67 74 68 3d 4d 61 74 68 this.length=Math
000346a0: 2e 6d 61 78 28 74 68 69 73 2e 6c 65 6e 67 74 68 .max(this.length
000346b0: 2c 74 2b 31 29 2c 74 68 69 73 7d 2c 69 2e 70 72 ,t+1),this},i.pr
000346c0: 6f 74 6f 74 79 70 65 2e 69 73 75 62 6e 3d 66 75 ototype.isubn=fu
000346d0: 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 72 28 22 nction(e){if(r("
000346e0: 6e 75 6d 62 65 72 22 3d 3d 74 79 70 65 6f 66 20 number"==typeof
000346f0: 65 29 2c 72 28 65 3c 36 37 31 30 38 38 36 34 29 e),r(e<67108864)
00034700: 2c 65 3c 30 29 72 65 74 75 72 6e 20 74 68 69 73 ,e<0)return this
00034710: 2e 69 61 64 64 6e 28 2d 65 29 3b 69 66 28 30 21 .iaddn(-e);if(0!
00034720: 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 65 29 ==this.negative)
00034730: 72 65 74 75 72 6e 20 74 68 69 73 2e 6e 65 67 61 return this.nega
00034740: 74 69 76 65 3d 30 2c 74 68 69 73 2e 69 61 64 64 tive=0,this.iadd
00034750: 6e 28 65 29 2c 74 68 69 73 2e 6e 65 67 61 74 69 n(e),this.negati
00034760: 76 65 3d 31 2c 74 68 69 73 3b 69 66 28 74 68 69 ve=1,this;if(thi
00034770: 73 2e 77 6f 72 64 73 5b 30 5d 2d 3d 65 2c 31 3d s.words[0]-=e,1=
00034780: 3d 3d 74 68 69 73 2e 6c 65 6e 67 74 68 26 26 74 ==this.length&&t
00034790: 68 69 73 2e 77 6f 72 64 73 5b 30 5d 3c 30 29 74 his.words[0]<0)t
000347a0: 68 69 73 2e 77 6f 72 64 73 5b 30 5d 3d 2d 74 68 his.words[0]=-th
000347b0: 69 73 2e 77 6f 72 64 73 5b 30 5d 2c 74 68 69 73 is.words[0],this
000347c0: 2e 6e 65 67 61 74 69 76 65 3d 31 3b 65 6c 73 65 .negative=1;else
000347d0: 20 66 6f 72 28 76 61 72 20 74 3d 30 3b 74 3c 74 for(var t=0;t<t
000347e0: 68 69 73 2e 6c 65 6e 67 74 68 26 26 74 68 69 73 his.length&&this
000347f0: 2e 77 6f 72 64 73 5b 74 5d 3c 30 3b 74 2b 2b 29 .words[t]<0;t++)
00034800: 74 68 69 73 2e 77 6f 72 64 73 5b 74 5d 2b 3d 36 this.words[t]+=6
00034810: 37 31 30 38 38 36 34 2c 74 68 69 73 2e 77 6f 72 7108864,this.wor
00034820: 64 73 5b 74 2b 31 5d 2d 3d 31 3b 72 65 74 75 72 ds[t+1]-=1;retur
00034830: 6e 20 74 68 69 73 2e 5f 73 74 72 69 70 28 29 7d n this._strip()}
00034840: 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 61 64 64 ,i.prototype.add
00034850: 6e 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 n=function(e){re
00034860: 74 75 72 6e 20 74 68 69 73 2e 63 6c 6f 6e 65 28 turn this.clone(
00034870: 29 2e 69 61 64 64 6e 28 65 29 7d 2c 69 2e 70 72 ).iaddn(e)},i.pr
00034880: 6f 74 6f 74 79 70 65 2e 73 75 62 6e 3d 66 75 6e ototype.subn=fun
00034890: 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 ction(e){return
000348a0: 74 68 69 73 2e 63 6c 6f 6e 65 28 29 2e 69 73 75 this.clone().isu
000348b0: 62 6e 28 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 bn(e)},i.prototy
000348c0: 70 65 2e 69 61 62 73 3d 66 75 6e 63 74 69 6f 6e pe.iabs=function
000348d0: 28 29 7b 72 65 74 75 72 6e 20 74 68 69 73 2e 6e (){return this.n
000348e0: 65 67 61 74 69 76 65 3d 30 2c 74 68 69 73 7d 2c egative=0,this},
000348f0: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 61 62 73 3d i.prototype.abs=
00034900: 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 function(){retur
00034910: 6e 20 74 68 69 73 2e 63 6c 6f 6e 65 28 29 2e 69 n this.clone().i
00034920: 61 62 73 28 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 abs()},i.prototy
00034930: 70 65 2e 5f 69 73 68 6c 6e 73 75 62 6d 75 6c 3d pe._ishlnsubmul=
00034940: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 2c 6e 29 7b function(e,t,n){
00034950: 76 61 72 20 6f 2c 69 2c 61 3d 65 2e 6c 65 6e 67 var o,i,a=e.leng
00034960: 74 68 2b 6e 3b 74 68 69 73 2e 5f 65 78 70 61 6e th+n;this._expan
00034970: 64 28 61 29 3b 76 61 72 20 73 3d 30 3b 66 6f 72 d(a);var s=0;for
00034980: 28 6f 3d 30 3b 6f 3c 65 2e 6c 65 6e 67 74 68 3b (o=0;o<e.length;
00034990: 6f 2b 2b 29 7b 69 3d 28 30 7c 74 68 69 73 2e 77 o++){i=(0|this.w
000349a0: 6f 72 64 73 5b 6f 2b 6e 5d 29 2b 73 3b 76 61 72 ords[o+n])+s;var
000349b0: 20 6c 3d 28 30 7c 65 2e 77 6f 72 64 73 5b 6f 5d l=(0|e.words[o]
000349c0: 29 2a 74 3b 73 3d 28 28 69 2d 3d 36 37 31 30 38 )*t;s=((i-=67108
000349d0: 38 36 33 26 6c 29 3e 3e 32 36 29 2d 28 6c 2f 36 863&l)>>26)-(l/6
000349e0: 37 31 30 38 38 36 34 7c 30 29 2c 74 68 69 73 2e 7108864|0),this.
000349f0: 77 6f 72 64 73 5b 6f 2b 6e 5d 3d 36 37 31 30 38 words[o+n]=67108
00034a00: 38 36 33 26 69 7d 66 6f 72 28 3b 6f 3c 74 68 69 863&i}for(;o<thi
00034a10: 73 2e 6c 65 6e 67 74 68 2d 6e 3b 6f 2b 2b 29 73 s.length-n;o++)s
00034a20: 3d 28 69 3d 28 30 7c 74 68 69 73 2e 77 6f 72 64 =(i=(0|this.word
00034a30: 73 5b 6f 2b 6e 5d 29 2b 73 29 3e 3e 32 36 2c 74 s[o+n])+s)>>26,t
00034a40: 68 69 73 2e 77 6f 72 64 73 5b 6f 2b 6e 5d 3d 36 his.words[o+n]=6
00034a50: 37 31 30 38 38 36 33 26 69 3b 69 66 28 30 3d 3d 7108863&i;if(0==
00034a60: 3d 73 29 72 65 74 75 72 6e 20 74 68 69 73 2e 5f =s)return this._
00034a70: 73 74 72 69 70 28 29 3b 66 6f 72 28 72 28 2d 31 strip();for(r(-1
00034a80: 3d 3d 3d 73 29 2c 73 3d 30 2c 6f 3d 30 3b 6f 3c ===s),s=0,o=0;o<
00034a90: 74 68 69 73 2e 6c 65 6e 67 74 68 3b 6f 2b 2b 29 this.length;o++)
00034aa0: 73 3d 28 69 3d 2d 28 30 7c 74 68 69 73 2e 77 6f s=(i=-(0|this.wo
00034ab0: 72 64 73 5b 6f 5d 29 2b 73 29 3e 3e 32 36 2c 74 rds[o])+s)>>26,t
00034ac0: 68 69 73 2e 77 6f 72 64 73 5b 6f 5d 3d 36 37 31 his.words[o]=671
00034ad0: 30 38 38 36 33 26 69 3b 72 65 74 75 72 6e 20 74 08863&i;return t
00034ae0: 68 69 73 2e 6e 65 67 61 74 69 76 65 3d 31 2c 74 his.negative=1,t
00034af0: 68 69 73 2e 5f 73 74 72 69 70 28 29 7d 2c 69 2e his._strip()},i.
00034b00: 70 72 6f 74 6f 74 79 70 65 2e 5f 77 6f 72 64 44 prototype._wordD
00034b10: 69 76 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 iv=function(e,t)
00034b20: 7b 76 61 72 20 6e 3d 28 74 68 69 73 2e 6c 65 6e {var n=(this.len
00034b30: 67 74 68 2c 65 2e 6c 65 6e 67 74 68 29 2c 72 3d gth,e.length),r=
00034b40: 74 68 69 73 2e 63 6c 6f 6e 65 28 29 2c 6f 3d 65 this.clone(),o=e
00034b50: 2c 61 3d 30 7c 6f 2e 77 6f 72 64 73 5b 6f 2e 6c ,a=0|o.words[o.l
00034b60: 65 6e 67 74 68 2d 31 5d 3b 30 21 3d 3d 28 6e 3d ength-1];0!==(n=
00034b70: 32 36 2d 74 68 69 73 2e 5f 63 6f 75 6e 74 42 69 26-this._countBi
00034b80: 74 73 28 61 29 29 26 26 28 6f 3d 6f 2e 75 73 68 ts(a))&&(o=o.ush
00034b90: 6c 6e 28 6e 29 2c 72 2e 69 75 73 68 6c 6e 28 6e ln(n),r.iushln(n
00034ba0: 29 2c 61 3d 30 7c 6f 2e 77 6f 72 64 73 5b 6f 2e ),a=0|o.words[o.
00034bb0: 6c 65 6e 67 74 68 2d 31 5d 29 3b 76 61 72 20 73 length-1]);var s
00034bc0: 2c 6c 3d 72 2e 6c 65 6e 67 74 68 2d 6f 2e 6c 65 ,l=r.length-o.le
00034bd0: 6e 67 74 68 3b 69 66 28 22 6d 6f 64 22 21 3d 3d ngth;if("mod"!==
00034be0: 74 29 7b 28 73 3d 6e 65 77 20 69 28 6e 75 6c 6c t){(s=new i(null
00034bf0: 29 29 2e 6c 65 6e 67 74 68 3d 6c 2b 31 2c 73 2e )).length=l+1,s.
00034c00: 77 6f 72 64 73 3d 6e 65 77 20 41 72 72 61 79 28 words=new Array(
00034c10: 73 2e 6c 65 6e 67 74 68 29 3b 66 6f 72 28 76 61 s.length);for(va
00034c20: 72 20 63 3d 30 3b 63 3c 73 2e 6c 65 6e 67 74 68 r c=0;c<s.length
00034c30: 3b 63 2b 2b 29 73 2e 77 6f 72 64 73 5b 63 5d 3d ;c++)s.words[c]=
00034c40: 30 7d 76 61 72 20 75 3d 72 2e 63 6c 6f 6e 65 28 0}var u=r.clone(
00034c50: 29 2e 5f 69 73 68 6c 6e 73 75 62 6d 75 6c 28 6f )._ishlnsubmul(o
00034c60: 2c 31 2c 6c 29 3b 30 3d 3d 3d 75 2e 6e 65 67 61 ,1,l);0===u.nega
00034c70: 74 69 76 65 26 26 28 72 3d 75 2c 73 26 26 28 73 tive&&(r=u,s&&(s
00034c80: 2e 77 6f 72 64 73 5b 6c 5d 3d 31 29 29 3b 66 6f .words[l]=1));fo
00034c90: 72 28 76 61 72 20 64 3d 6c 2d 31 3b 64 3e 3d 30 r(var d=l-1;d>=0
00034ca0: 3b 64 2d 2d 29 7b 76 61 72 20 70 3d 36 37 31 30 ;d--){var p=6710
00034cb0: 38 38 36 34 2a 28 30 7c 72 2e 77 6f 72 64 73 5b 8864*(0|r.words[
00034cc0: 6f 2e 6c 65 6e 67 74 68 2b 64 5d 29 2b 28 30 7c o.length+d])+(0|
00034cd0: 72 2e 77 6f 72 64 73 5b 6f 2e 6c 65 6e 67 74 68 r.words[o.length
00034ce0: 2b 64 2d 31 5d 29 3b 66 6f 72 28 70 3d 4d 61 74 +d-1]);for(p=Mat
00034cf0: 68 2e 6d 69 6e 28 70 2f 61 7c 30 2c 36 37 31 30 h.min(p/a|0,6710
00034d00: 38 38 36 33 29 2c 72 2e 5f 69 73 68 6c 6e 73 75 8863),r._ishlnsu
00034d10: 62 6d 75 6c 28 6f 2c 70 2c 64 29 3b 30 21 3d 3d bmul(o,p,d);0!==
00034d20: 72 2e 6e 65 67 61 74 69 76 65 3b 29 70 2d 2d 2c r.negative;)p--,
00034d30: 72 2e 6e 65 67 61 74 69 76 65 3d 30 2c 72 2e 5f r.negative=0,r._
00034d40: 69 73 68 6c 6e 73 75 62 6d 75 6c 28 6f 2c 31 2c ishlnsubmul(o,1,
00034d50: 64 29 2c 72 2e 69 73 5a 65 72 6f 28 29 7c 7c 28 d),r.isZero()||(
00034d60: 72 2e 6e 65 67 61 74 69 76 65 5e 3d 31 29 3b 73 r.negative^=1);s
00034d70: 26 26 28 73 2e 77 6f 72 64 73 5b 64 5d 3d 70 29 &&(s.words[d]=p)
00034d80: 7d 72 65 74 75 72 6e 20 73 26 26 73 2e 5f 73 74 }return s&&s._st
00034d90: 72 69 70 28 29 2c 72 2e 5f 73 74 72 69 70 28 29 rip(),r._strip()
00034da0: 2c 22 64 69 76 22 21 3d 3d 74 26 26 30 21 3d 3d ,"div"!==t&&0!==
00034db0: 6e 26 26 72 2e 69 75 73 68 72 6e 28 6e 29 2c 7b n&&r.iushrn(n),{
00034dc0: 64 69 76 3a 73 7c 7c 6e 75 6c 6c 2c 6d 6f 64 3a div:s||null,mod:
00034dd0: 72 7d 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e r}},i.prototype.
00034de0: 64 69 76 6d 6f 64 3d 66 75 6e 63 74 69 6f 6e 28 divmod=function(
00034df0: 65 2c 74 2c 6e 29 7b 72 65 74 75 72 6e 20 72 28 e,t,n){return r(
00034e00: 21 65 2e 69 73 5a 65 72 6f 28 29 29 2c 74 68 69 !e.isZero()),thi
00034e10: 73 2e 69 73 5a 65 72 6f 28 29 3f 7b 64 69 76 3a s.isZero()?{div:
00034e20: 6e 65 77 20 69 28 30 29 2c 6d 6f 64 3a 6e 65 77 new i(0),mod:new
00034e30: 20 69 28 30 29 7d 3a 30 21 3d 3d 74 68 69 73 2e i(0)}:0!==this.
00034e40: 6e 65 67 61 74 69 76 65 26 26 30 3d 3d 3d 65 2e negative&&0===e.
00034e50: 6e 65 67 61 74 69 76 65 3f 28 73 3d 74 68 69 73 negative?(s=this
00034e60: 2e 6e 65 67 28 29 2e 64 69 76 6d 6f 64 28 65 2c .neg().divmod(e,
00034e70: 74 29 2c 22 6d 6f 64 22 21 3d 3d 74 26 26 28 6f t),"mod"!==t&&(o
00034e80: 3d 73 2e 64 69 76 2e 6e 65 67 28 29 29 2c 22 64 =s.div.neg()),"d
00034e90: 69 76 22 21 3d 3d 74 26 26 28 61 3d 73 2e 6d 6f iv"!==t&&(a=s.mo
00034ea0: 64 2e 6e 65 67 28 29 2c 6e 26 26 30 21 3d 3d 61 d.neg(),n&&0!==a
00034eb0: 2e 6e 65 67 61 74 69 76 65 26 26 61 2e 69 61 64 .negative&&a.iad
00034ec0: 64 28 65 29 29 2c 7b 64 69 76 3a 6f 2c 6d 6f 64 d(e)),{div:o,mod
00034ed0: 3a 61 7d 29 3a 30 3d 3d 3d 74 68 69 73 2e 6e 65 :a}):0===this.ne
00034ee0: 67 61 74 69 76 65 26 26 30 21 3d 3d 65 2e 6e 65 gative&&0!==e.ne
00034ef0: 67 61 74 69 76 65 3f 28 73 3d 74 68 69 73 2e 64 gative?(s=this.d
00034f00: 69 76 6d 6f 64 28 65 2e 6e 65 67 28 29 2c 74 29 ivmod(e.neg(),t)
00034f10: 2c 22 6d 6f 64 22 21 3d 3d 74 26 26 28 6f 3d 73 ,"mod"!==t&&(o=s
00034f20: 2e 64 69 76 2e 6e 65 67 28 29 29 2c 7b 64 69 76 .div.neg()),{div
00034f30: 3a 6f 2c 6d 6f 64 3a 73 2e 6d 6f 64 7d 29 3a 30 :o,mod:s.mod}):0
00034f40: 21 3d 28 74 68 69 73 2e 6e 65 67 61 74 69 76 65 !=(this.negative
00034f50: 26 65 2e 6e 65 67 61 74 69 76 65 29 3f 28 73 3d &e.negative)?(s=
00034f60: 74 68 69 73 2e 6e 65 67 28 29 2e 64 69 76 6d 6f this.neg().divmo
00034f70: 64 28 65 2e 6e 65 67 28 29 2c 74 29 2c 22 64 69 d(e.neg(),t),"di
00034f80: 76 22 21 3d 3d 74 26 26 28 61 3d 73 2e 6d 6f 64 v"!==t&&(a=s.mod
00034f90: 2e 6e 65 67 28 29 2c 6e 26 26 30 21 3d 3d 61 2e .neg(),n&&0!==a.
00034fa0: 6e 65 67 61 74 69 76 65 26 26 61 2e 69 73 75 62 negative&&a.isub
00034fb0: 28 65 29 29 2c 7b 64 69 76 3a 73 2e 64 69 76 2c (e)),{div:s.div,
00034fc0: 6d 6f 64 3a 61 7d 29 3a 65 2e 6c 65 6e 67 74 68 mod:a}):e.length
00034fd0: 3e 74 68 69 73 2e 6c 65 6e 67 74 68 7c 7c 74 68 >this.length||th
00034fe0: 69 73 2e 63 6d 70 28 65 29 3c 30 3f 7b 64 69 76 is.cmp(e)<0?{div
00034ff0: 3a 6e 65 77 20 69 28 30 29 2c 6d 6f 64 3a 74 68 :new i(0),mod:th
00035000: 69 73 7d 3a 31 3d 3d 3d 65 2e 6c 65 6e 67 74 68 is}:1===e.length
00035010: 3f 22 64 69 76 22 3d 3d 3d 74 3f 7b 64 69 76 3a ?"div"===t?{div:
00035020: 74 68 69 73 2e 64 69 76 6e 28 65 2e 77 6f 72 64 this.divn(e.word
00035030: 73 5b 30 5d 29 2c 6d 6f 64 3a 6e 75 6c 6c 7d 3a s[0]),mod:null}:
00035040: 22 6d 6f 64 22 3d 3d 3d 74 3f 7b 64 69 76 3a 6e "mod"===t?{div:n
00035050: 75 6c 6c 2c 6d 6f 64 3a 6e 65 77 20 69 28 74 68 ull,mod:new i(th
00035060: 69 73 2e 6d 6f 64 72 6e 28 65 2e 77 6f 72 64 73 is.modrn(e.words
00035070: 5b 30 5d 29 29 7d 3a 7b 64 69 76 3a 74 68 69 73 [0]))}:{div:this
00035080: 2e 64 69 76 6e 28 65 2e 77 6f 72 64 73 5b 30 5d .divn(e.words[0]
00035090: 29 2c 6d 6f 64 3a 6e 65 77 20 69 28 74 68 69 73 ),mod:new i(this
000350a0: 2e 6d 6f 64 72 6e 28 65 2e 77 6f 72 64 73 5b 30 .modrn(e.words[0
000350b0: 5d 29 29 7d 3a 74 68 69 73 2e 5f 77 6f 72 64 44 ]))}:this._wordD
000350c0: 69 76 28 65 2c 74 29 3b 76 61 72 20 6f 2c 61 2c iv(e,t);var o,a,
000350d0: 73 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 64 s},i.prototype.d
000350e0: 69 76 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 iv=function(e){r
000350f0: 65 74 75 72 6e 20 74 68 69 73 2e 64 69 76 6d 6f eturn this.divmo
00035100: 64 28 65 2c 22 64 69 76 22 2c 21 31 29 2e 64 69 d(e,"div",!1).di
00035110: 76 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 6d v},i.prototype.m
00035120: 6f 64 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 od=function(e){r
00035130: 65 74 75 72 6e 20 74 68 69 73 2e 64 69 76 6d 6f eturn this.divmo
00035140: 64 28 65 2c 22 6d 6f 64 22 2c 21 31 29 2e 6d 6f d(e,"mod",!1).mo
00035150: 64 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 75 d},i.prototype.u
00035160: 6d 6f 64 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b mod=function(e){
00035170: 72 65 74 75 72 6e 20 74 68 69 73 2e 64 69 76 6d return this.divm
00035180: 6f 64 28 65 2c 22 6d 6f 64 22 2c 21 30 29 2e 6d od(e,"mod",!0).m
00035190: 6f 64 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e od},i.prototype.
000351a0: 64 69 76 52 6f 75 6e 64 3d 66 75 6e 63 74 69 6f divRound=functio
000351b0: 6e 28 65 29 7b 76 61 72 20 74 3d 74 68 69 73 2e n(e){var t=this.
000351c0: 64 69 76 6d 6f 64 28 65 29 3b 69 66 28 74 2e 6d divmod(e);if(t.m
000351d0: 6f 64 2e 69 73 5a 65 72 6f 28 29 29 72 65 74 75 od.isZero())retu
000351e0: 72 6e 20 74 2e 64 69 76 3b 76 61 72 20 6e 3d 30 rn t.div;var n=0
000351f0: 21 3d 3d 74 2e 64 69 76 2e 6e 65 67 61 74 69 76 !==t.div.negativ
00035200: 65 3f 74 2e 6d 6f 64 2e 69 73 75 62 28 65 29 3a e?t.mod.isub(e):
00035210: 74 2e 6d 6f 64 2c 72 3d 65 2e 75 73 68 72 6e 28 t.mod,r=e.ushrn(
00035220: 31 29 2c 6f 3d 65 2e 61 6e 64 6c 6e 28 31 29 2c 1),o=e.andln(1),
00035230: 69 3d 6e 2e 63 6d 70 28 72 29 3b 72 65 74 75 72 i=n.cmp(r);retur
00035240: 6e 20 69 3c 30 7c 7c 31 3d 3d 3d 6f 26 26 30 3d n i<0||1===o&&0=
00035250: 3d 3d 69 3f 74 2e 64 69 76 3a 30 21 3d 3d 74 2e ==i?t.div:0!==t.
00035260: 64 69 76 2e 6e 65 67 61 74 69 76 65 3f 74 2e 64 div.negative?t.d
00035270: 69 76 2e 69 73 75 62 6e 28 31 29 3a 74 2e 64 69 iv.isubn(1):t.di
00035280: 76 2e 69 61 64 64 6e 28 31 29 7d 2c 69 2e 70 72 v.iaddn(1)},i.pr
00035290: 6f 74 6f 74 79 70 65 2e 6d 6f 64 72 6e 3d 66 75 ototype.modrn=fu
000352a0: 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d nction(e){var t=
000352b0: 65 3c 30 3b 74 26 26 28 65 3d 2d 65 29 2c 72 28 e<0;t&&(e=-e),r(
000352c0: 65 3c 3d 36 37 31 30 38 38 36 33 29 3b 66 6f 72 e<=67108863);for
000352d0: 28 76 61 72 20 6e 3d 28 31 3c 3c 32 36 29 25 65 (var n=(1<<26)%e
000352e0: 2c 6f 3d 30 2c 69 3d 74 68 69 73 2e 6c 65 6e 67 ,o=0,i=this.leng
000352f0: 74 68 2d 31 3b 69 3e 3d 30 3b 69 2d 2d 29 6f 3d th-1;i>=0;i--)o=
00035300: 28 6e 2a 6f 2b 28 30 7c 74 68 69 73 2e 77 6f 72 (n*o+(0|this.wor
00035310: 64 73 5b 69 5d 29 29 25 65 3b 72 65 74 75 72 6e ds[i]))%e;return
00035320: 20 74 3f 2d 6f 3a 6f 7d 2c 69 2e 70 72 6f 74 6f t?-o:o},i.proto
00035330: 74 79 70 65 2e 6d 6f 64 6e 3d 66 75 6e 63 74 69 type.modn=functi
00035340: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 on(e){return thi
00035350: 73 2e 6d 6f 64 72 6e 28 65 29 7d 2c 69 2e 70 72 s.modrn(e)},i.pr
00035360: 6f 74 6f 74 79 70 65 2e 69 64 69 76 6e 3d 66 75 ototype.idivn=fu
00035370: 6e 63 74 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d nction(e){var t=
00035380: 65 3c 30 3b 74 26 26 28 65 3d 2d 65 29 2c 72 28 e<0;t&&(e=-e),r(
00035390: 65 3c 3d 36 37 31 30 38 38 36 33 29 3b 66 6f 72 e<=67108863);for
000353a0: 28 76 61 72 20 6e 3d 30 2c 6f 3d 74 68 69 73 2e (var n=0,o=this.
000353b0: 6c 65 6e 67 74 68 2d 31 3b 6f 3e 3d 30 3b 6f 2d length-1;o>=0;o-
000353c0: 2d 29 7b 76 61 72 20 69 3d 28 30 7c 74 68 69 73 -){var i=(0|this
000353d0: 2e 77 6f 72 64 73 5b 6f 5d 29 2b 36 37 31 30 38 .words[o])+67108
000353e0: 38 36 34 2a 6e 3b 74 68 69 73 2e 77 6f 72 64 73 864*n;this.words
000353f0: 5b 6f 5d 3d 69 2f 65 7c 30 2c 6e 3d 69 25 65 7d [o]=i/e|0,n=i%e}
00035400: 72 65 74 75 72 6e 20 74 68 69 73 2e 5f 73 74 72 return this._str
00035410: 69 70 28 29 2c 74 3f 74 68 69 73 2e 69 6e 65 67 ip(),t?this.ineg
00035420: 28 29 3a 74 68 69 73 7d 2c 69 2e 70 72 6f 74 6f ():this},i.proto
00035430: 74 79 70 65 2e 64 69 76 6e 3d 66 75 6e 63 74 69 type.divn=functi
00035440: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 on(e){return thi
00035450: 73 2e 63 6c 6f 6e 65 28 29 2e 69 64 69 76 6e 28 s.clone().idivn(
00035460: 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e e)},i.prototype.
00035470: 65 67 63 64 3d 66 75 6e 63 74 69 6f 6e 28 65 29 egcd=function(e)
00035480: 7b 72 28 30 3d 3d 3d 65 2e 6e 65 67 61 74 69 76 {r(0===e.negativ
00035490: 65 29 2c 72 28 21 65 2e 69 73 5a 65 72 6f 28 29 e),r(!e.isZero()
000354a0: 29 3b 76 61 72 20 74 3d 74 68 69 73 2c 6e 3d 65 );var t=this,n=e
000354b0: 2e 63 6c 6f 6e 65 28 29 3b 74 3d 30 21 3d 3d 74 .clone();t=0!==t
000354c0: 2e 6e 65 67 61 74 69 76 65 3f 74 2e 75 6d 6f 64 .negative?t.umod
000354d0: 28 65 29 3a 74 2e 63 6c 6f 6e 65 28 29 3b 66 6f (e):t.clone();fo
000354e0: 72 28 76 61 72 20 6f 3d 6e 65 77 20 69 28 31 29 r(var o=new i(1)
000354f0: 2c 61 3d 6e 65 77 20 69 28 30 29 2c 73 3d 6e 65 ,a=new i(0),s=ne
00035500: 77 20 69 28 30 29 2c 6c 3d 6e 65 77 20 69 28 31 w i(0),l=new i(1
00035510: 29 2c 63 3d 30 3b 74 2e 69 73 45 76 65 6e 28 29 ),c=0;t.isEven()
00035520: 26 26 6e 2e 69 73 45 76 65 6e 28 29 3b 29 74 2e &&n.isEven();)t.
00035530: 69 75 73 68 72 6e 28 31 29 2c 6e 2e 69 75 73 68 iushrn(1),n.iush
00035540: 72 6e 28 31 29 2c 2b 2b 63 3b 66 6f 72 28 76 61 rn(1),++c;for(va
00035550: 72 20 75 3d 6e 2e 63 6c 6f 6e 65 28 29 2c 64 3d r u=n.clone(),d=
00035560: 74 2e 63 6c 6f 6e 65 28 29 3b 21 74 2e 69 73 5a t.clone();!t.isZ
00035570: 65 72 6f 28 29 3b 29 7b 66 6f 72 28 76 61 72 20 ero();){for(var
00035580: 70 3d 30 2c 66 3d 31 3b 30 3d 3d 28 74 2e 77 6f p=0,f=1;0==(t.wo
00035590: 72 64 73 5b 30 5d 26 66 29 26 26 70 3c 32 36 3b rds[0]&f)&&p<26;
000355a0: 2b 2b 70 2c 66 3c 3c 3d 31 29 3b 69 66 28 70 3e ++p,f<<=1);if(p>
000355b0: 30 29 66 6f 72 28 74 2e 69 75 73 68 72 6e 28 70 0)for(t.iushrn(p
000355c0: 29 3b 70 2d 2d 20 3e 30 3b 29 28 6f 2e 69 73 4f );p-- >0;)(o.isO
000355d0: 64 64 28 29 7c 7c 61 2e 69 73 4f 64 64 28 29 29 dd()||a.isOdd())
000355e0: 26 26 28 6f 2e 69 61 64 64 28 75 29 2c 61 2e 69 &&(o.iadd(u),a.i
000355f0: 73 75 62 28 64 29 29 2c 6f 2e 69 75 73 68 72 6e sub(d)),o.iushrn
00035600: 28 31 29 2c 61 2e 69 75 73 68 72 6e 28 31 29 3b (1),a.iushrn(1);
00035610: 66 6f 72 28 76 61 72 20 6d 3d 30 2c 68 3d 31 3b for(var m=0,h=1;
00035620: 30 3d 3d 28 6e 2e 77 6f 72 64 73 5b 30 5d 26 68 0==(n.words[0]&h
00035630: 29 26 26 6d 3c 32 36 3b 2b 2b 6d 2c 68 3c 3c 3d )&&m<26;++m,h<<=
00035640: 31 29 3b 69 66 28 6d 3e 30 29 66 6f 72 28 6e 2e 1);if(m>0)for(n.
00035650: 69 75 73 68 72 6e 28 6d 29 3b 6d 2d 2d 20 3e 30 iushrn(m);m-- >0
00035660: 3b 29 28 73 2e 69 73 4f 64 64 28 29 7c 7c 6c 2e ;)(s.isOdd()||l.
00035670: 69 73 4f 64 64 28 29 29 26 26 28 73 2e 69 61 64 isOdd())&&(s.iad
00035680: 64 28 75 29 2c 6c 2e 69 73 75 62 28 64 29 29 2c d(u),l.isub(d)),
00035690: 73 2e 69 75 73 68 72 6e 28 31 29 2c 6c 2e 69 75 s.iushrn(1),l.iu
000356a0: 73 68 72 6e 28 31 29 3b 74 2e 63 6d 70 28 6e 29 shrn(1);t.cmp(n)
000356b0: 3e 3d 30 3f 28 74 2e 69 73 75 62 28 6e 29 2c 6f >=0?(t.isub(n),o
000356c0: 2e 69 73 75 62 28 73 29 2c 61 2e 69 73 75 62 28 .isub(s),a.isub(
000356d0: 6c 29 29 3a 28 6e 2e 69 73 75 62 28 74 29 2c 73 l)):(n.isub(t),s
000356e0: 2e 69 73 75 62 28 6f 29 2c 6c 2e 69 73 75 62 28 .isub(o),l.isub(
000356f0: 61 29 29 7d 72 65 74 75 72 6e 7b 61 3a 73 2c 62 a))}return{a:s,b
00035700: 3a 6c 2c 67 63 64 3a 6e 2e 69 75 73 68 6c 6e 28 :l,gcd:n.iushln(
00035710: 63 29 7d 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 c)}},i.prototype
00035720: 2e 5f 69 6e 76 6d 70 3d 66 75 6e 63 74 69 6f 6e ._invmp=function
00035730: 28 65 29 7b 72 28 30 3d 3d 3d 65 2e 6e 65 67 61 (e){r(0===e.nega
00035740: 74 69 76 65 29 2c 72 28 21 65 2e 69 73 5a 65 72 tive),r(!e.isZer
00035750: 6f 28 29 29 3b 76 61 72 20 74 3d 74 68 69 73 2c o());var t=this,
00035760: 6e 3d 65 2e 63 6c 6f 6e 65 28 29 3b 74 3d 30 21 n=e.clone();t=0!
00035770: 3d 3d 74 2e 6e 65 67 61 74 69 76 65 3f 74 2e 75 ==t.negative?t.u
00035780: 6d 6f 64 28 65 29 3a 74 2e 63 6c 6f 6e 65 28 29 mod(e):t.clone()
00035790: 3b 66 6f 72 28 76 61 72 20 6f 2c 61 3d 6e 65 77 ;for(var o,a=new
000357a0: 20 69 28 31 29 2c 73 3d 6e 65 77 20 69 28 30 29 i(1),s=new i(0)
000357b0: 2c 6c 3d 6e 2e 63 6c 6f 6e 65 28 29 3b 74 2e 63 ,l=n.clone();t.c
000357c0: 6d 70 6e 28 31 29 3e 30 26 26 6e 2e 63 6d 70 6e mpn(1)>0&&n.cmpn
000357d0: 28 31 29 3e 30 3b 29 7b 66 6f 72 28 76 61 72 20 (1)>0;){for(var
000357e0: 63 3d 30 2c 75 3d 31 3b 30 3d 3d 28 74 2e 77 6f c=0,u=1;0==(t.wo
000357f0: 72 64 73 5b 30 5d 26 75 29 26 26 63 3c 32 36 3b rds[0]&u)&&c<26;
00035800: 2b 2b 63 2c 75 3c 3c 3d 31 29 3b 69 66 28 63 3e ++c,u<<=1);if(c>
00035810: 30 29 66 6f 72 28 74 2e 69 75 73 68 72 6e 28 63 0)for(t.iushrn(c
00035820: 29 3b 63 2d 2d 20 3e 30 3b 29 61 2e 69 73 4f 64 );c-- >0;)a.isOd
00035830: 64 28 29 26 26 61 2e 69 61 64 64 28 6c 29 2c 61 d()&&a.iadd(l),a
00035840: 2e 69 75 73 68 72 6e 28 31 29 3b 66 6f 72 28 76 .iushrn(1);for(v
00035850: 61 72 20 64 3d 30 2c 70 3d 31 3b 30 3d 3d 28 6e ar d=0,p=1;0==(n
00035860: 2e 77 6f 72 64 73 5b 30 5d 26 70 29 26 26 64 3c .words[0]&p)&&d<
00035870: 32 36 3b 2b 2b 64 2c 70 3c 3c 3d 31 29 3b 69 66 26;++d,p<<=1);if
00035880: 28 64 3e 30 29 66 6f 72 28 6e 2e 69 75 73 68 72 (d>0)for(n.iushr
00035890: 6e 28 64 29 3b 64 2d 2d 20 3e 30 3b 29 73 2e 69 n(d);d-- >0;)s.i
000358a0: 73 4f 64 64 28 29 26 26 73 2e 69 61 64 64 28 6c sOdd()&&s.iadd(l
000358b0: 29 2c 73 2e 69 75 73 68 72 6e 28 31 29 3b 74 2e ),s.iushrn(1);t.
000358c0: 63 6d 70 28 6e 29 3e 3d 30 3f 28 74 2e 69 73 75 cmp(n)>=0?(t.isu
000358d0: 62 28 6e 29 2c 61 2e 69 73 75 62 28 73 29 29 3a b(n),a.isub(s)):
000358e0: 28 6e 2e 69 73 75 62 28 74 29 2c 73 2e 69 73 75 (n.isub(t),s.isu
000358f0: 62 28 61 29 29 7d 72 65 74 75 72 6e 28 6f 3d 30 b(a))}return(o=0
00035900: 3d 3d 3d 74 2e 63 6d 70 6e 28 31 29 3f 61 3a 73 ===t.cmpn(1)?a:s
00035910: 29 2e 63 6d 70 6e 28 30 29 3c 30 26 26 6f 2e 69 ).cmpn(0)<0&&o.i
00035920: 61 64 64 28 65 29 2c 6f 7d 2c 69 2e 70 72 6f 74 add(e),o},i.prot
00035930: 6f 74 79 70 65 2e 67 63 64 3d 66 75 6e 63 74 69 otype.gcd=functi
00035940: 6f 6e 28 65 29 7b 69 66 28 74 68 69 73 2e 69 73 on(e){if(this.is
00035950: 5a 65 72 6f 28 29 29 72 65 74 75 72 6e 20 65 2e Zero())return e.
00035960: 61 62 73 28 29 3b 69 66 28 65 2e 69 73 5a 65 72 abs();if(e.isZer
00035970: 6f 28 29 29 72 65 74 75 72 6e 20 74 68 69 73 2e o())return this.
00035980: 61 62 73 28 29 3b 76 61 72 20 74 3d 74 68 69 73 abs();var t=this
00035990: 2e 63 6c 6f 6e 65 28 29 2c 6e 3d 65 2e 63 6c 6f .clone(),n=e.clo
000359a0: 6e 65 28 29 3b 74 2e 6e 65 67 61 74 69 76 65 3d ne();t.negative=
000359b0: 30 2c 6e 2e 6e 65 67 61 74 69 76 65 3d 30 3b 66 0,n.negative=0;f
000359c0: 6f 72 28 76 61 72 20 72 3d 30 3b 74 2e 69 73 45 or(var r=0;t.isE
000359d0: 76 65 6e 28 29 26 26 6e 2e 69 73 45 76 65 6e 28 ven()&&n.isEven(
000359e0: 29 3b 72 2b 2b 29 74 2e 69 75 73 68 72 6e 28 31 );r++)t.iushrn(1
000359f0: 29 2c 6e 2e 69 75 73 68 72 6e 28 31 29 3b 66 6f ),n.iushrn(1);fo
00035a00: 72 28 3b 3b 29 7b 66 6f 72 28 3b 74 2e 69 73 45 r(;;){for(;t.isE
00035a10: 76 65 6e 28 29 3b 29 74 2e 69 75 73 68 72 6e 28 ven();)t.iushrn(
00035a20: 31 29 3b 66 6f 72 28 3b 6e 2e 69 73 45 76 65 6e 1);for(;n.isEven
00035a30: 28 29 3b 29 6e 2e 69 75 73 68 72 6e 28 31 29 3b ();)n.iushrn(1);
00035a40: 76 61 72 20 6f 3d 74 2e 63 6d 70 28 6e 29 3b 69 var o=t.cmp(n);i
00035a50: 66 28 6f 3c 30 29 7b 76 61 72 20 69 3d 74 3b 74 f(o<0){var i=t;t
00035a60: 3d 6e 2c 6e 3d 69 7d 65 6c 73 65 20 69 66 28 30 =n,n=i}else if(0
00035a70: 3d 3d 3d 6f 7c 7c 30 3d 3d 3d 6e 2e 63 6d 70 6e ===o||0===n.cmpn
00035a80: 28 31 29 29 62 72 65 61 6b 3b 74 2e 69 73 75 62 (1))break;t.isub
00035a90: 28 6e 29 7d 72 65 74 75 72 6e 20 6e 2e 69 75 73 (n)}return n.ius
00035aa0: 68 6c 6e 28 72 29 7d 2c 69 2e 70 72 6f 74 6f 74 hln(r)},i.protot
00035ab0: 79 70 65 2e 69 6e 76 6d 3d 66 75 6e 63 74 69 6f ype.invm=functio
00035ac0: 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 73 n(e){return this
00035ad0: 2e 65 67 63 64 28 65 29 2e 61 2e 75 6d 6f 64 28 .egcd(e).a.umod(
00035ae0: 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e e)},i.prototype.
00035af0: 69 73 45 76 65 6e 3d 66 75 6e 63 74 69 6f 6e 28 isEven=function(
00035b00: 29 7b 72 65 74 75 72 6e 20 30 3d 3d 28 31 26 74 ){return 0==(1&t
00035b10: 68 69 73 2e 77 6f 72 64 73 5b 30 5d 29 7d 2c 69 his.words[0])},i
00035b20: 2e 70 72 6f 74 6f 74 79 70 65 2e 69 73 4f 64 64 .prototype.isOdd
00035b30: 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 =function(){retu
00035b40: 72 6e 20 31 3d 3d 28 31 26 74 68 69 73 2e 77 6f rn 1==(1&this.wo
00035b50: 72 64 73 5b 30 5d 29 7d 2c 69 2e 70 72 6f 74 6f rds[0])},i.proto
00035b60: 74 79 70 65 2e 61 6e 64 6c 6e 3d 66 75 6e 63 74 type.andln=funct
00035b70: 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 68 ion(e){return th
00035b80: 69 73 2e 77 6f 72 64 73 5b 30 5d 26 65 7d 2c 69 is.words[0]&e},i
00035b90: 2e 70 72 6f 74 6f 74 79 70 65 2e 62 69 6e 63 6e .prototype.bincn
00035ba0: 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 28 22 =function(e){r("
00035bb0: 6e 75 6d 62 65 72 22 3d 3d 74 79 70 65 6f 66 20 number"==typeof
00035bc0: 65 29 3b 76 61 72 20 74 3d 65 25 32 36 2c 6e 3d e);var t=e%26,n=
00035bd0: 28 65 2d 74 29 2f 32 36 2c 6f 3d 31 3c 3c 74 3b (e-t)/26,o=1<<t;
00035be0: 69 66 28 74 68 69 73 2e 6c 65 6e 67 74 68 3c 3d if(this.length<=
00035bf0: 6e 29 72 65 74 75 72 6e 20 74 68 69 73 2e 5f 65 n)return this._e
00035c00: 78 70 61 6e 64 28 6e 2b 31 29 2c 74 68 69 73 2e xpand(n+1),this.
00035c10: 77 6f 72 64 73 5b 6e 5d 7c 3d 6f 2c 74 68 69 73 words[n]|=o,this
00035c20: 3b 66 6f 72 28 76 61 72 20 69 3d 6f 2c 61 3d 6e ;for(var i=o,a=n
00035c30: 3b 30 21 3d 3d 69 26 26 61 3c 74 68 69 73 2e 6c ;0!==i&&a<this.l
00035c40: 65 6e 67 74 68 3b 61 2b 2b 29 7b 76 61 72 20 73 ength;a++){var s
00035c50: 3d 30 7c 74 68 69 73 2e 77 6f 72 64 73 5b 61 5d =0|this.words[a]
00035c60: 3b 69 3d 28 73 2b 3d 69 29 3e 3e 3e 32 36 2c 73 ;i=(s+=i)>>>26,s
00035c70: 26 3d 36 37 31 30 38 38 36 33 2c 74 68 69 73 2e &=67108863,this.
00035c80: 77 6f 72 64 73 5b 61 5d 3d 73 7d 72 65 74 75 72 words[a]=s}retur
00035c90: 6e 20 30 21 3d 3d 69 26 26 28 74 68 69 73 2e 77 n 0!==i&&(this.w
00035ca0: 6f 72 64 73 5b 61 5d 3d 69 2c 74 68 69 73 2e 6c ords[a]=i,this.l
00035cb0: 65 6e 67 74 68 2b 2b 29 2c 74 68 69 73 7d 2c 69 ength++),this},i
00035cc0: 2e 70 72 6f 74 6f 74 79 70 65 2e 69 73 5a 65 72 .prototype.isZer
00035cd0: 6f 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 74 o=function(){ret
00035ce0: 75 72 6e 20 31 3d 3d 3d 74 68 69 73 2e 6c 65 6e urn 1===this.len
00035cf0: 67 74 68 26 26 30 3d 3d 3d 74 68 69 73 2e 77 6f gth&&0===this.wo
00035d00: 72 64 73 5b 30 5d 7d 2c 69 2e 70 72 6f 74 6f 74 rds[0]},i.protot
00035d10: 79 70 65 2e 63 6d 70 6e 3d 66 75 6e 63 74 69 6f ype.cmpn=functio
00035d20: 6e 28 65 29 7b 76 61 72 20 74 2c 6e 3d 65 3c 30 n(e){var t,n=e<0
00035d30: 3b 69 66 28 30 21 3d 3d 74 68 69 73 2e 6e 65 67 ;if(0!==this.neg
00035d40: 61 74 69 76 65 26 26 21 6e 29 72 65 74 75 72 6e ative&&!n)return
00035d50: 2d 31 3b 69 66 28 30 3d 3d 3d 74 68 69 73 2e 6e -1;if(0===this.n
00035d60: 65 67 61 74 69 76 65 26 26 6e 29 72 65 74 75 72 egative&&n)retur
00035d70: 6e 20 31 3b 69 66 28 74 68 69 73 2e 5f 73 74 72 n 1;if(this._str
00035d80: 69 70 28 29 2c 74 68 69 73 2e 6c 65 6e 67 74 68 ip(),this.length
00035d90: 3e 31 29 74 3d 31 3b 65 6c 73 65 7b 6e 26 26 28 >1)t=1;else{n&&(
00035da0: 65 3d 2d 65 29 2c 72 28 65 3c 3d 36 37 31 30 38 e=-e),r(e<=67108
00035db0: 38 36 33 2c 22 4e 75 6d 62 65 72 20 69 73 20 74 863,"Number is t
00035dc0: 6f 6f 20 62 69 67 22 29 3b 76 61 72 20 6f 3d 30 oo big");var o=0
00035dd0: 7c 74 68 69 73 2e 77 6f 72 64 73 5b 30 5d 3b 74 |this.words[0];t
00035de0: 3d 6f 3d 3d 3d 65 3f 30 3a 6f 3c 65 3f 2d 31 3a =o===e?0:o<e?-1:
00035df0: 31 7d 72 65 74 75 72 6e 20 30 21 3d 3d 74 68 69 1}return 0!==thi
00035e00: 73 2e 6e 65 67 61 74 69 76 65 3f 30 7c 2d 74 3a s.negative?0|-t:
00035e10: 74 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 63 t},i.prototype.c
00035e20: 6d 70 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 mp=function(e){i
00035e30: 66 28 30 21 3d 3d 74 68 69 73 2e 6e 65 67 61 74 f(0!==this.negat
00035e40: 69 76 65 26 26 30 3d 3d 3d 65 2e 6e 65 67 61 74 ive&&0===e.negat
00035e50: 69 76 65 29 72 65 74 75 72 6e 2d 31 3b 69 66 28 ive)return-1;if(
00035e60: 30 3d 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 0===this.negativ
00035e70: 65 26 26 30 21 3d 3d 65 2e 6e 65 67 61 74 69 76 e&&0!==e.negativ
00035e80: 65 29 72 65 74 75 72 6e 20 31 3b 76 61 72 20 74 e)return 1;var t
00035e90: 3d 74 68 69 73 2e 75 63 6d 70 28 65 29 3b 72 65 =this.ucmp(e);re
00035ea0: 74 75 72 6e 20 30 21 3d 3d 74 68 69 73 2e 6e 65 turn 0!==this.ne
00035eb0: 67 61 74 69 76 65 3f 30 7c 2d 74 3a 74 7d 2c 69 gative?0|-t:t},i
00035ec0: 2e 70 72 6f 74 6f 74 79 70 65 2e 75 63 6d 70 3d .prototype.ucmp=
00035ed0: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 69 66 28 74 function(e){if(t
00035ee0: 68 69 73 2e 6c 65 6e 67 74 68 3e 65 2e 6c 65 6e his.length>e.len
00035ef0: 67 74 68 29 72 65 74 75 72 6e 20 31 3b 69 66 28 gth)return 1;if(
00035f00: 74 68 69 73 2e 6c 65 6e 67 74 68 3c 65 2e 6c 65 this.length<e.le
00035f10: 6e 67 74 68 29 72 65 74 75 72 6e 2d 31 3b 66 6f ngth)return-1;fo
00035f20: 72 28 76 61 72 20 74 3d 30 2c 6e 3d 74 68 69 73 r(var t=0,n=this
00035f30: 2e 6c 65 6e 67 74 68 2d 31 3b 6e 3e 3d 30 3b 6e .length-1;n>=0;n
00035f40: 2d 2d 29 7b 76 61 72 20 72 3d 30 7c 74 68 69 73 --){var r=0|this
00035f50: 2e 77 6f 72 64 73 5b 6e 5d 2c 6f 3d 30 7c 65 2e .words[n],o=0|e.
00035f60: 77 6f 72 64 73 5b 6e 5d 3b 69 66 28 72 21 3d 3d words[n];if(r!==
00035f70: 6f 29 7b 72 3c 6f 3f 74 3d 2d 31 3a 72 3e 6f 26 o){r<o?t=-1:r>o&
00035f80: 26 28 74 3d 31 29 3b 62 72 65 61 6b 7d 7d 72 65 &(t=1);break}}re
00035f90: 74 75 72 6e 20 74 7d 2c 69 2e 70 72 6f 74 6f 74 turn t},i.protot
00035fa0: 79 70 65 2e 67 74 6e 3d 66 75 6e 63 74 69 6f 6e ype.gtn=function
00035fb0: 28 65 29 7b 72 65 74 75 72 6e 20 31 3d 3d 3d 74 (e){return 1===t
00035fc0: 68 69 73 2e 63 6d 70 6e 28 65 29 7d 2c 69 2e 70 his.cmpn(e)},i.p
00035fd0: 72 6f 74 6f 74 79 70 65 2e 67 74 3d 66 75 6e 63 rototype.gt=func
00035fe0: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 31 tion(e){return 1
00035ff0: 3d 3d 3d 74 68 69 73 2e 63 6d 70 28 65 29 7d 2c ===this.cmp(e)},
00036000: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 67 74 65 6e i.prototype.gten
00036010: 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 =function(e){ret
00036020: 75 72 6e 20 74 68 69 73 2e 63 6d 70 6e 28 65 29 urn this.cmpn(e)
00036030: 3e 3d 30 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 >=0},i.prototype
00036040: 2e 67 74 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 .gte=function(e)
00036050: 7b 72 65 74 75 72 6e 20 74 68 69 73 2e 63 6d 70 {return this.cmp
00036060: 28 65 29 3e 3d 30 7d 2c 69 2e 70 72 6f 74 6f 74 (e)>=0},i.protot
00036070: 79 70 65 2e 6c 74 6e 3d 66 75 6e 63 74 69 6f 6e ype.ltn=function
00036080: 28 65 29 7b 72 65 74 75 72 6e 2d 31 3d 3d 3d 74 (e){return-1===t
00036090: 68 69 73 2e 63 6d 70 6e 28 65 29 7d 2c 69 2e 70 his.cmpn(e)},i.p
000360a0: 72 6f 74 6f 74 79 70 65 2e 6c 74 3d 66 75 6e 63 rototype.lt=func
000360b0: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 2d 31 tion(e){return-1
000360c0: 3d 3d 3d 74 68 69 73 2e 63 6d 70 28 65 29 7d 2c ===this.cmp(e)},
000360d0: 69 2e 70 72 6f 74 6f 74 79 70 65 2e 6c 74 65 6e i.prototype.lten
000360e0: 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 =function(e){ret
000360f0: 75 72 6e 20 74 68 69 73 2e 63 6d 70 6e 28 65 29 urn this.cmpn(e)
00036100: 3c 3d 30 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 <=0},i.prototype
00036110: 2e 6c 74 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 .lte=function(e)
00036120: 7b 72 65 74 75 72 6e 20 74 68 69 73 2e 63 6d 70 {return this.cmp
00036130: 28 65 29 3c 3d 30 7d 2c 69 2e 70 72 6f 74 6f 74 (e)<=0},i.protot
00036140: 79 70 65 2e 65 71 6e 3d 66 75 6e 63 74 69 6f 6e ype.eqn=function
00036150: 28 65 29 7b 72 65 74 75 72 6e 20 30 3d 3d 3d 74 (e){return 0===t
00036160: 68 69 73 2e 63 6d 70 6e 28 65 29 7d 2c 69 2e 70 his.cmpn(e)},i.p
00036170: 72 6f 74 6f 74 79 70 65 2e 65 71 3d 66 75 6e 63 rototype.eq=func
00036180: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 30 tion(e){return 0
00036190: 3d 3d 3d 74 68 69 73 2e 63 6d 70 28 65 29 7d 2c ===this.cmp(e)},
000361a0: 69 2e 72 65 64 3d 66 75 6e 63 74 69 6f 6e 28 65 i.red=function(e
000361b0: 29 7b 72 65 74 75 72 6e 20 6e 65 77 20 41 28 65 ){return new A(e
000361c0: 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 74 )},i.prototype.t
000361d0: 6f 52 65 64 3d 66 75 6e 63 74 69 6f 6e 28 65 29 oRed=function(e)
000361e0: 7b 72 65 74 75 72 6e 20 72 28 21 74 68 69 73 2e {return r(!this.
000361f0: 72 65 64 2c 22 41 6c 72 65 61 64 79 20 61 20 6e red,"Already a n
00036200: 75 6d 62 65 72 20 69 6e 20 72 65 64 75 63 74 69 umber in reducti
00036210: 6f 6e 20 63 6f 6e 74 65 78 74 22 29 2c 72 28 30 on context"),r(0
00036220: 3d 3d 3d 74 68 69 73 2e 6e 65 67 61 74 69 76 65 ===this.negative
00036230: 2c 22 72 65 64 20 77 6f 72 6b 73 20 6f 6e 6c 79 ,"red works only
00036240: 20 77 69 74 68 20 70 6f 73 69 74 69 76 65 73 22 with positives"
00036250: 29 2c 65 2e 63 6f 6e 76 65 72 74 54 6f 28 74 68 ),e.convertTo(th
00036260: 69 73 29 2e 5f 66 6f 72 63 65 52 65 64 28 65 29 is)._forceRed(e)
00036270: 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 66 72 },i.prototype.fr
00036280: 6f 6d 52 65 64 3d 66 75 6e 63 74 69 6f 6e 28 29 omRed=function()
00036290: 7b 72 65 74 75 72 6e 20 72 28 74 68 69 73 2e 72 {return r(this.r
000362a0: 65 64 2c 22 66 72 6f 6d 52 65 64 20 77 6f 72 6b ed,"fromRed work
000362b0: 73 20 6f 6e 6c 79 20 77 69 74 68 20 6e 75 6d 62 s only with numb
000362c0: 65 72 73 20 69 6e 20 72 65 64 75 63 74 69 6f 6e ers in reduction
000362d0: 20 63 6f 6e 74 65 78 74 22 29 2c 74 68 69 73 2e context"),this.
000362e0: 72 65 64 2e 63 6f 6e 76 65 72 74 46 72 6f 6d 28 red.convertFrom(
000362f0: 74 68 69 73 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 this)},i.prototy
00036300: 70 65 2e 5f 66 6f 72 63 65 52 65 64 3d 66 75 6e pe._forceRed=fun
00036310: 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 ction(e){return
00036320: 74 68 69 73 2e 72 65 64 3d 65 2c 74 68 69 73 7d this.red=e,this}
00036330: 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 66 6f 72 ,i.prototype.for
00036340: 63 65 52 65 64 3d 66 75 6e 63 74 69 6f 6e 28 65 ceRed=function(e
00036350: 29 7b 72 65 74 75 72 6e 20 72 28 21 74 68 69 73 ){return r(!this
00036360: 2e 72 65 64 2c 22 41 6c 72 65 61 64 79 20 61 20 .red,"Already a
00036370: 6e 75 6d 62 65 72 20 69 6e 20 72 65 64 75 63 74 number in reduct
00036380: 69 6f 6e 20 63 6f 6e 74 65 78 74 22 29 2c 74 68 ion context"),th
00036390: 69 73 2e 5f 66 6f 72 63 65 52 65 64 28 65 29 7d is._forceRed(e)}
000363a0: 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e 72 65 64 ,i.prototype.red
000363b0: 41 64 64 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b Add=function(e){
000363c0: 72 65 74 75 72 6e 20 72 28 74 68 69 73 2e 72 65 return r(this.re
000363d0: 64 2c 22 72 65 64 41 64 64 20 77 6f 72 6b 73 20 d,"redAdd works
000363e0: 6f 6e 6c 79 20 77 69 74 68 20 72 65 64 20 6e 75 only with red nu
000363f0: 6d 62 65 72 73 22 29 2c 74 68 69 73 2e 72 65 64 mbers"),this.red
00036400: 2e 61 64 64 28 74 68 69 73 2c 65 29 7d 2c 69 2e .add(this,e)},i.
00036410: 70 72 6f 74 6f 74 79 70 65 2e 72 65 64 49 41 64 prototype.redIAd
00036420: 64 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 d=function(e){re
00036430: 74 75 72 6e 20 72 28 74 68 69 73 2e 72 65 64 2c turn r(this.red,
00036440: 22 72 65 64 49 41 64 64 20 77 6f 72 6b 73 20 6f "redIAdd works o
00036450: 6e 6c 79 20 77 69 74 68 20 72 65 64 20 6e 75 6d nly with red num
00036460: 62 65 72 73 22 29 2c 74 68 69 73 2e 72 65 64 2e bers"),this.red.
00036470: 69 61 64 64 28 74 68 69 73 2c 65 29 7d 2c 69 2e iadd(this,e)},i.
00036480: 70 72 6f 74 6f 74 79 70 65 2e 72 65 64 53 75 62 prototype.redSub
00036490: 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 =function(e){ret
000364a0: 75 72 6e 20 72 28 74 68 69 73 2e 72 65 64 2c 22 urn r(this.red,"
000364b0: 72 65 64 53 75 62 20 77 6f 72 6b 73 20 6f 6e 6c redSub works onl
000364c0: 79 20 77 69 74 68 20 72 65 64 20 6e 75 6d 62 65 y with red numbe
000364d0: 72 73 22 29 2c 74 68 69 73 2e 72 65 64 2e 73 75 rs"),this.red.su
000364e0: 62 28 74 68 69 73 2c 65 29 7d 2c 69 2e 70 72 6f b(this,e)},i.pro
000364f0: 74 6f 74 79 70 65 2e 72 65 64 49 53 75 62 3d 66 totype.redISub=f
00036500: 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 unction(e){retur
00036510: 6e 20 72 28 74 68 69 73 2e 72 65 64 2c 22 72 65 n r(this.red,"re
00036520: 64 49 53 75 62 20 77 6f 72 6b 73 20 6f 6e 6c 79 dISub works only
00036530: 20 77 69 74 68 20 72 65 64 20 6e 75 6d 62 65 72 with red number
00036540: 73 22 29 2c 74 68 69 73 2e 72 65 64 2e 69 73 75 s"),this.red.isu
00036550: 62 28 74 68 69 73 2c 65 29 7d 2c 69 2e 70 72 6f b(this,e)},i.pro
00036560: 74 6f 74 79 70 65 2e 72 65 64 53 68 6c 3d 66 75 totype.redShl=fu
00036570: 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e nction(e){return
00036580: 20 72 28 74 68 69 73 2e 72 65 64 2c 22 72 65 64 r(this.red,"red
00036590: 53 68 6c 20 77 6f 72 6b 73 20 6f 6e 6c 79 20 77 Shl works only w
000365a0: 69 74 68 20 72 65 64 20 6e 75 6d 62 65 72 73 22 ith red numbers"
000365b0: 29 2c 74 68 69 73 2e 72 65 64 2e 73 68 6c 28 74 ),this.red.shl(t
000365c0: 68 69 73 2c 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 his,e)},i.protot
000365d0: 79 70 65 2e 72 65 64 4d 75 6c 3d 66 75 6e 63 74 ype.redMul=funct
000365e0: 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 72 28 ion(e){return r(
000365f0: 74 68 69 73 2e 72 65 64 2c 22 72 65 64 4d 75 6c this.red,"redMul
00036600: 20 77 6f 72 6b 73 20 6f 6e 6c 79 20 77 69 74 68 works only with
00036610: 20 72 65 64 20 6e 75 6d 62 65 72 73 22 29 2c 74 red numbers"),t
00036620: 68 69 73 2e 72 65 64 2e 5f 76 65 72 69 66 79 32 his.red._verify2
00036630: 28 74 68 69 73 2c 65 29 2c 74 68 69 73 2e 72 65 (this,e),this.re
00036640: 64 2e 6d 75 6c 28 74 68 69 73 2c 65 29 7d 2c 69 d.mul(this,e)},i
00036650: 2e 70 72 6f 74 6f 74 79 70 65 2e 72 65 64 49 4d .prototype.redIM
00036660: 75 6c 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 ul=function(e){r
00036670: 65 74 75 72 6e 20 72 28 74 68 69 73 2e 72 65 64 eturn r(this.red
00036680: 2c 22 72 65 64 4d 75 6c 20 77 6f 72 6b 73 20 6f ,"redMul works o
00036690: 6e 6c 79 20 77 69 74 68 20 72 65 64 20 6e 75 6d nly with red num
000366a0: 62 65 72 73 22 29 2c 74 68 69 73 2e 72 65 64 2e bers"),this.red.
000366b0: 5f 76 65 72 69 66 79 32 28 74 68 69 73 2c 65 29 _verify2(this,e)
000366c0: 2c 74 68 69 73 2e 72 65 64 2e 69 6d 75 6c 28 74 ,this.red.imul(t
000366d0: 68 69 73 2c 65 29 7d 2c 69 2e 70 72 6f 74 6f 74 his,e)},i.protot
000366e0: 79 70 65 2e 72 65 64 53 71 72 3d 66 75 6e 63 74 ype.redSqr=funct
000366f0: 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 72 28 74 ion(){return r(t
00036700: 68 69 73 2e 72 65 64 2c 22 72 65 64 53 71 72 20 his.red,"redSqr
00036710: 77 6f 72 6b 73 20 6f 6e 6c 79 20 77 69 74 68 20 works only with
00036720: 72 65 64 20 6e 75 6d 62 65 72 73 22 29 2c 74 68 red numbers"),th
00036730: 69 73 2e 72 65 64 2e 5f 76 65 72 69 66 79 31 28 is.red._verify1(
00036740: 74 68 69 73 29 2c 74 68 69 73 2e 72 65 64 2e 73 this),this.red.s
00036750: 71 72 28 74 68 69 73 29 7d 2c 69 2e 70 72 6f 74 qr(this)},i.prot
00036760: 6f 74 79 70 65 2e 72 65 64 49 53 71 72 3d 66 75 otype.redISqr=fu
00036770: 6e 63 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 nction(){return
00036780: 72 28 74 68 69 73 2e 72 65 64 2c 22 72 65 64 49 r(this.red,"redI
00036790: 53 71 72 20 77 6f 72 6b 73 20 6f 6e 6c 79 20 77 Sqr works only w
000367a0: 69 74 68 20 72 65 64 20 6e 75 6d 62 65 72 73 22 ith red numbers"
000367b0: 29 2c 74 68 69 73 2e 72 65 64 2e 5f 76 65 72 69 ),this.red._veri
000367c0: 66 79 31 28 74 68 69 73 29 2c 74 68 69 73 2e 72 fy1(this),this.r
000367d0: 65 64 2e 69 73 71 72 28 74 68 69 73 29 7d 2c 69 ed.isqr(this)},i
000367e0: 2e 70 72 6f 74 6f 74 79 70 65 2e 72 65 64 53 71 .prototype.redSq
000367f0: 72 74 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 72 65 rt=function(){re
00036800: 74 75 72 6e 20 72 28 74 68 69 73 2e 72 65 64 2c turn r(this.red,
00036810: 22 72 65 64 53 71 72 74 20 77 6f 72 6b 73 20 6f "redSqrt works o
00036820: 6e 6c 79 20 77 69 74 68 20 72 65 64 20 6e 75 6d nly with red num
00036830: 62 65 72 73 22 29 2c 74 68 69 73 2e 72 65 64 2e bers"),this.red.
00036840: 5f 76 65 72 69 66 79 31 28 74 68 69 73 29 2c 74 _verify1(this),t
00036850: 68 69 73 2e 72 65 64 2e 73 71 72 74 28 74 68 69 his.red.sqrt(thi
00036860: 73 29 7d 2c 69 2e 70 72 6f 74 6f 74 79 70 65 2e s)},i.prototype.
00036870: 72 65 64 49 6e 76 6d 3d 66 75 6e 63 74 69 6f 6e redInvm=function
00036880: 28 29 7b 72 65 74 75 72 6e 20 72 28 74 68 69 73 (){return r(this
00036890: 2e 72 65 64 2c 22 72 65 64 49 6e 76 6d 20 77 6f .red,"redInvm wo
000368a0: 72 6b 73 20 6f 6e 6c 79 20 77 69 74 68 20 72 65 rks only with re
000368b0: 64 20 6e 75 6d 62 65 72 73 22 29 2c 74 68 69 73 d numbers"),this
000368c0: 2e 72 65 64 2e 5f 76 65 72 69 66 79 31 28 74 68 .red._verify1(th
000368d0: 69 73 29 2c 74 68 69 73 2e 72 65 64 2e 69 6e 76 is),this.red.inv
000368e0: 6d 28 74 68 69 73 29 7d 2c 69 2e 70 72 6f 74 6f m(this)},i.proto
000368f0: 74 79 70 65 2e 72 65 64 4e 65 67 3d 66 75 6e 63 type.redNeg=func
00036900: 74 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 72 28 tion(){return r(
00036910: 74 68 69 73 2e 72 65 64 2c 22 72 65 64 4e 65 67 this.red,"redNeg
00036920: 20 77 6f 72 6b 73 20 6f 6e 6c 79 20 77 69 74 68 works only with
00036930: 20 72 65 64 20 6e 75 6d 62 65 72 73 22 29 2c 74 red numbers"),t
00036940: 68 69 73 2e 72 65 64 2e 5f 76 65 72 69 66 79 31 his.red._verify1
00036950: 28 74 68 69 73 29 2c 74 68 69 73 2e 72 65 64 2e (this),this.red.
00036960: 6e 65 67 28 74 68 69 73 29 7d 2c 69 2e 70 72 6f neg(this)},i.pro
00036970: 74 6f 74 79 70 65 2e 72 65 64 50 6f 77 3d 66 75 totype.redPow=fu
00036980: 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e nction(e){return
00036990: 20 72 28 74 68 69 73 2e 72 65 64 26 26 21 65 2e r(this.red&&!e.
000369a0: 72 65 64 2c 22 72 65 64 50 6f 77 28 6e 6f 72 6d red,"redPow(norm
000369b0: 61 6c 4e 75 6d 29 22 29 2c 74 68 69 73 2e 72 65 alNum)"),this.re
000369c0: 64 2e 5f 76 65 72 69 66 79 31 28 74 68 69 73 29 d._verify1(this)
000369d0: 2c 74 68 69 73 2e 72 65 64 2e 70 6f 77 28 74 68 ,this.red.pow(th
000369e0: 69 73 2c 65 29 7d 3b 76 61 72 20 45 3d 7b 6b 32 is,e)};var E={k2
000369f0: 35 36 3a 6e 75 6c 6c 2c 70 32 32 34 3a 6e 75 6c 56:null,p224:nul
00036a00: 6c 2c 70 31 39 32 3a 6e 75 6c 6c 2c 70 32 35 35 l,p192:null,p255
00036a10: 31 39 3a 6e 75 6c 6c 7d 3b 66 75 6e 63 74 69 6f 19:null};functio
00036a20: 6e 20 5f 28 65 2c 74 29 7b 74 68 69 73 2e 6e 61 n _(e,t){this.na
00036a30: 6d 65 3d 65 2c 74 68 69 73 2e 70 3d 6e 65 77 20 me=e,this.p=new
00036a40: 69 28 74 2c 31 36 29 2c 74 68 69 73 2e 6e 3d 74 i(t,16),this.n=t
00036a50: 68 69 73 2e 70 2e 62 69 74 4c 65 6e 67 74 68 28 his.p.bitLength(
00036a60: 29 2c 74 68 69 73 2e 6b 3d 6e 65 77 20 69 28 31 ),this.k=new i(1
00036a70: 29 2e 69 75 73 68 6c 6e 28 74 68 69 73 2e 6e 29 ).iushln(this.n)
00036a80: 2e 69 73 75 62 28 74 68 69 73 2e 70 29 2c 74 68 .isub(this.p),th
00036a90: 69 73 2e 74 6d 70 3d 74 68 69 73 2e 5f 74 6d 70 is.tmp=this._tmp
00036aa0: 28 29 7d 66 75 6e 63 74 69 6f 6e 20 53 28 29 7b ()}function S(){
00036ab0: 5f 2e 63 61 6c 6c 28 74 68 69 73 2c 22 6b 32 35 _.call(this,"k25
00036ac0: 36 22 2c 22 66 66 66 66 66 66 66 66 20 66 66 66 6","ffffffff fff
00036ad0: 66 66 66 66 66 20 66 66 66 66 66 66 66 66 20 66 fffff ffffffff f
00036ae0: 66 66 66 66 66 66 66 20 66 66 66 66 66 66 66 66 fffffff ffffffff
00036af0: 20 66 66 66 66 66 66 66 66 20 66 66 66 66 66 66 ffffffff ffffff
00036b00: 66 65 20 66 66 66 66 66 63 32 66 22 29 7d 66 75 fe fffffc2f")}fu
00036b10: 6e 63 74 69 6f 6e 20 77 28 29 7b 5f 2e 63 61 6c nction w(){_.cal
00036b20: 6c 28 74 68 69 73 2c 22 70 32 32 34 22 2c 22 66 l(this,"p224","f
00036b30: 66 66 66 66 66 66 66 20 66 66 66 66 66 66 66 66 fffffff ffffffff
00036b40: 20 66 66 66 66 66 66 66 66 20 66 66 66 66 66 66 ffffffff ffffff
00036b50: 66 66 20 30 30 30 30 30 30 30 30 20 30 30 30 30 ff 00000000 0000
00036b60: 30 30 30 30 20 30 30 30 30 30 30 30 31 22 29 7d 0000 00000001")}
00036b70: 66 75 6e 63 74 69 6f 6e 20 54 28 29 7b 5f 2e 63 function T(){_.c
00036b80: 61 6c 6c 28 74 68 69 73 2c 22 70 31 39 32 22 2c all(this,"p192",
00036b90: 22 66 66 66 66 66 66 66 66 20 66 66 66 66 66 66 "ffffffff ffffff
00036ba0: 66 66 20 66 66 66 66 66 66 66 66 20 66 66 66 66 ff ffffffff ffff
00036bb0: 66 66 66 65 20 66 66 66 66 66 66 66 66 20 66 66 fffe ffffffff ff
00036bc0: 66 66 66 66 66 66 22 29 7d 66 75 6e 63 74 69 6f ffffff")}functio
00036bd0: 6e 20 78 28 29 7b 5f 2e 63 61 6c 6c 28 74 68 69 n x(){_.call(thi
00036be0: 73 2c 22 32 35 35 31 39 22 2c 22 37 66 66 66 66 s,"25519","7ffff
00036bf0: 66 66 66 66 66 66 66 66 66 66 66 20 66 66 66 66 fffffffffff ffff
00036c00: 66 66 66 66 66 66 66 66 66 66 66 66 20 66 66 66 ffffffffffff fff
00036c10: 66 66 66 66 66 66 66 66 66 66 66 66 66 20 66 66 fffffffffffff ff
00036c20: 66 66 66 66 66 66 66 66 66 66 66 66 65 64 22 29 ffffffffffffed")
00036c30: 7d 66 75 6e 63 74 69 6f 6e 20 41 28 65 29 7b 69 }function A(e){i
00036c40: 66 28 22 73 74 72 69 6e 67 22 3d 3d 74 79 70 65 f("string"==type
00036c50: 6f 66 20 65 29 7b 76 61 72 20 74 3d 69 2e 5f 70 of e){var t=i._p
00036c60: 72 69 6d 65 28 65 29 3b 74 68 69 73 2e 6d 3d 74 rime(e);this.m=t
00036c70: 2e 70 2c 74 68 69 73 2e 70 72 69 6d 65 3d 74 7d .p,this.prime=t}
00036c80: 65 6c 73 65 20 72 28 65 2e 67 74 6e 28 31 29 2c else r(e.gtn(1),
00036c90: 22 6d 6f 64 75 6c 75 73 20 6d 75 73 74 20 62 65 "modulus must be
00036ca0: 20 67 72 65 61 74 65 72 20 74 68 61 6e 20 31 22 greater than 1"
00036cb0: 29 2c 74 68 69 73 2e 6d 3d 65 2c 74 68 69 73 2e ),this.m=e,this.
00036cc0: 70 72 69 6d 65 3d 6e 75 6c 6c 7d 66 75 6e 63 74 prime=null}funct
00036cd0: 69 6f 6e 20 43 28 65 29 7b 41 2e 63 61 6c 6c 28 ion C(e){A.call(
00036ce0: 74 68 69 73 2c 65 29 2c 74 68 69 73 2e 73 68 69 this,e),this.shi
00036cf0: 66 74 3d 74 68 69 73 2e 6d 2e 62 69 74 4c 65 6e ft=this.m.bitLen
00036d00: 67 74 68 28 29 2c 74 68 69 73 2e 73 68 69 66 74 gth(),this.shift
00036d10: 25 32 36 21 3d 30 26 26 28 74 68 69 73 2e 73 68 %26!=0&&(this.sh
00036d20: 69 66 74 2b 3d 32 36 2d 74 68 69 73 2e 73 68 69 ift+=26-this.shi
00036d30: 66 74 25 32 36 29 2c 74 68 69 73 2e 72 3d 6e 65 ft%26),this.r=ne
00036d40: 77 20 69 28 31 29 2e 69 75 73 68 6c 6e 28 74 68 w i(1).iushln(th
00036d50: 69 73 2e 73 68 69 66 74 29 2c 74 68 69 73 2e 72 is.shift),this.r
00036d60: 32 3d 74 68 69 73 2e 69 6d 6f 64 28 74 68 69 73 2=this.imod(this
00036d70: 2e 72 2e 73 71 72 28 29 29 2c 74 68 69 73 2e 72 .r.sqr()),this.r
00036d80: 69 6e 76 3d 74 68 69 73 2e 72 2e 5f 69 6e 76 6d inv=this.r._invm
00036d90: 70 28 74 68 69 73 2e 6d 29 2c 74 68 69 73 2e 6d p(this.m),this.m
00036da0: 69 6e 76 3d 74 68 69 73 2e 72 69 6e 76 2e 6d 75 inv=this.rinv.mu
00036db0: 6c 28 74 68 69 73 2e 72 29 2e 69 73 75 62 6e 28 l(this.r).isubn(
00036dc0: 31 29 2e 64 69 76 28 74 68 69 73 2e 6d 29 2c 74 1).div(this.m),t
00036dd0: 68 69 73 2e 6d 69 6e 76 3d 74 68 69 73 2e 6d 69 his.minv=this.mi
00036de0: 6e 76 2e 75 6d 6f 64 28 74 68 69 73 2e 72 29 2c nv.umod(this.r),
00036df0: 74 68 69 73 2e 6d 69 6e 76 3d 74 68 69 73 2e 72 this.minv=this.r
00036e00: 2e 73 75 62 28 74 68 69 73 2e 6d 69 6e 76 29 7d .sub(this.minv)}
00036e10: 5f 2e 70 72 6f 74 6f 74 79 70 65 2e 5f 74 6d 70 _.prototype._tmp
00036e20: 3d 66 75 6e 63 74 69 6f 6e 28 29 7b 76 61 72 20 =function(){var
00036e30: 65 3d 6e 65 77 20 69 28 6e 75 6c 6c 29 3b 72 65 e=new i(null);re
00036e40: 74 75 72 6e 20 65 2e 77 6f 72 64 73 3d 6e 65 77 turn e.words=new
00036e50: 20 41 72 72 61 79 28 4d 61 74 68 2e 63 65 69 6c Array(Math.ceil
00036e60: 28 74 68 69 73 2e 6e 2f 31 33 29 29 2c 65 7d 2c (this.n/13)),e},
00036e70: 5f 2e 70 72 6f 74 6f 74 79 70 65 2e 69 72 65 64 _.prototype.ired
00036e80: 75 63 65 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b uce=function(e){
00036e90: 76 61 72 20 74 2c 6e 3d 65 3b 64 6f 7b 74 68 69 var t,n=e;do{thi
00036ea0: 73 2e 73 70 6c 69 74 28 6e 2c 74 68 69 73 2e 74 s.split(n,this.t
00036eb0: 6d 70 29 2c 74 3d 28 6e 3d 28 6e 3d 74 68 69 73 mp),t=(n=(n=this
00036ec0: 2e 69 6d 75 6c 4b 28 6e 29 29 2e 69 61 64 64 28 .imulK(n)).iadd(
00036ed0: 74 68 69 73 2e 74 6d 70 29 29 2e 62 69 74 4c 65 this.tmp)).bitLe
00036ee0: 6e 67 74 68 28 29 7d 77 68 69 6c 65 28 74 3e 74 ngth()}while(t>t
00036ef0: 68 69 73 2e 6e 29 3b 76 61 72 20 72 3d 74 3c 74 his.n);var r=t<t
00036f00: 68 69 73 2e 6e 3f 2d 31 3a 6e 2e 75 63 6d 70 28 his.n?-1:n.ucmp(
00036f10: 74 68 69 73 2e 70 29 3b 72 65 74 75 72 6e 20 30 this.p);return 0
00036f20: 3d 3d 3d 72 3f 28 6e 2e 77 6f 72 64 73 5b 30 5d ===r?(n.words[0]
00036f30: 3d 30 2c 6e 2e 6c 65 6e 67 74 68 3d 31 29 3a 72 =0,n.length=1):r
00036f40: 3e 30 3f 6e 2e 69 73 75 62 28 74 68 69 73 2e 70 >0?n.isub(this.p
00036f50: 29 3a 76 6f 69 64 20 30 21 3d 3d 6e 2e 73 74 72 ):void 0!==n.str
00036f60: 69 70 3f 6e 2e 73 74 72 69 70 28 29 3a 6e 2e 5f ip?n.strip():n._
00036f70: 73 74 72 69 70 28 29 2c 6e 7d 2c 5f 2e 70 72 6f strip(),n},_.pro
00036f80: 74 6f 74 79 70 65 2e 73 70 6c 69 74 3d 66 75 6e totype.split=fun
00036f90: 63 74 69 6f 6e 28 65 2c 74 29 7b 65 2e 69 75 73 ction(e,t){e.ius
00036fa0: 68 72 6e 28 74 68 69 73 2e 6e 2c 30 2c 74 29 7d hrn(this.n,0,t)}
00036fb0: 2c 5f 2e 70 72 6f 74 6f 74 79 70 65 2e 69 6d 75 ,_.prototype.imu
00036fc0: 6c 4b 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 lK=function(e){r
00036fd0: 65 74 75 72 6e 20 65 2e 69 6d 75 6c 28 74 68 69 eturn e.imul(thi
00036fe0: 73 2e 6b 29 7d 2c 6f 28 53 2c 5f 29 2c 53 2e 70 s.k)},o(S,_),S.p
00036ff0: 72 6f 74 6f 74 79 70 65 2e 73 70 6c 69 74 3d 66 rototype.split=f
00037000: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 66 6f 72 unction(e,t){for
00037010: 28 76 61 72 20 6e 3d 34 31 39 34 33 30 33 2c 72 (var n=4194303,r
00037020: 3d 4d 61 74 68 2e 6d 69 6e 28 65 2e 6c 65 6e 67 =Math.min(e.leng
00037030: 74 68 2c 39 29 2c 6f 3d 30 3b 6f 3c 72 3b 6f 2b th,9),o=0;o<r;o+
00037040: 2b 29 74 2e 77 6f 72 64 73 5b 6f 5d 3d 65 2e 77 +)t.words[o]=e.w
00037050: 6f 72 64 73 5b 6f 5d 3b 69 66 28 74 2e 6c 65 6e ords[o];if(t.len
00037060: 67 74 68 3d 72 2c 65 2e 6c 65 6e 67 74 68 3c 3d gth=r,e.length<=
00037070: 39 29 72 65 74 75 72 6e 20 65 2e 77 6f 72 64 73 9)return e.words
00037080: 5b 30 5d 3d 30 2c 76 6f 69 64 28 65 2e 6c 65 6e [0]=0,void(e.len
00037090: 67 74 68 3d 31 29 3b 76 61 72 20 69 3d 65 2e 77 gth=1);var i=e.w
000370a0: 6f 72 64 73 5b 39 5d 3b 66 6f 72 28 74 2e 77 6f ords[9];for(t.wo
000370b0: 72 64 73 5b 74 2e 6c 65 6e 67 74 68 2b 2b 5d 3d rds[t.length++]=
000370c0: 69 26 6e 2c 6f 3d 31 30 3b 6f 3c 65 2e 6c 65 6e i&n,o=10;o<e.len
000370d0: 67 74 68 3b 6f 2b 2b 29 7b 76 61 72 20 61 3d 30 gth;o++){var a=0
000370e0: 7c 65 2e 77 6f 72 64 73 5b 6f 5d 3b 65 2e 77 6f |e.words[o];e.wo
000370f0: 72 64 73 5b 6f 2d 31 30 5d 3d 28 61 26 6e 29 3c rds[o-10]=(a&n)<
00037100: 3c 34 7c 69 3e 3e 3e 32 32 2c 69 3d 61 7d 69 3e <4|i>>>22,i=a}i>
00037110: 3e 3e 3d 32 32 2c 65 2e 77 6f 72 64 73 5b 6f 2d >>=22,e.words[o-
00037120: 31 30 5d 3d 69 2c 30 3d 3d 3d 69 26 26 65 2e 6c 10]=i,0===i&&e.l
00037130: 65 6e 67 74 68 3e 31 30 3f 65 2e 6c 65 6e 67 74 ength>10?e.lengt
00037140: 68 2d 3d 31 30 3a 65 2e 6c 65 6e 67 74 68 2d 3d h-=10:e.length-=
00037150: 39 7d 2c 53 2e 70 72 6f 74 6f 74 79 70 65 2e 69 9},S.prototype.i
00037160: 6d 75 6c 4b 3d 66 75 6e 63 74 69 6f 6e 28 65 29 mulK=function(e)
00037170: 7b 65 2e 77 6f 72 64 73 5b 65 2e 6c 65 6e 67 74 {e.words[e.lengt
00037180: 68 5d 3d 30 2c 65 2e 77 6f 72 64 73 5b 65 2e 6c h]=0,e.words[e.l
00037190: 65 6e 67 74 68 2b 31 5d 3d 30 2c 65 2e 6c 65 6e ength+1]=0,e.len
000371a0: 67 74 68 2b 3d 32 3b 66 6f 72 28 76 61 72 20 74 gth+=2;for(var t
000371b0: 3d 30 2c 6e 3d 30 3b 6e 3c 65 2e 6c 65 6e 67 74 =0,n=0;n<e.lengt
000371c0: 68 3b 6e 2b 2b 29 7b 76 61 72 20 72 3d 30 7c 65 h;n++){var r=0|e
000371d0: 2e 77 6f 72 64 73 5b 6e 5d 3b 74 2b 3d 39 37 37 .words[n];t+=977
000371e0: 2a 72 2c 65 2e 77 6f 72 64 73 5b 6e 5d 3d 36 37 *r,e.words[n]=67
000371f0: 31 30 38 38 36 33 26 74 2c 74 3d 36 34 2a 72 2b 108863&t,t=64*r+
00037200: 28 74 2f 36 37 31 30 38 38 36 34 7c 30 29 7d 72 (t/67108864|0)}r
00037210: 65 74 75 72 6e 20 30 3d 3d 3d 65 2e 77 6f 72 64 eturn 0===e.word
00037220: 73 5b 65 2e 6c 65 6e 67 74 68 2d 31 5d 26 26 28 s[e.length-1]&&(
00037230: 65 2e 6c 65 6e 67 74 68 2d 2d 2c 30 3d 3d 3d 65 e.length--,0===e
00037240: 2e 77 6f 72 64 73 5b 65 2e 6c 65 6e 67 74 68 2d .words[e.length-
00037250: 31 5d 26 26 65 2e 6c 65 6e 67 74 68 2d 2d 29 2c 1]&&e.length--),
00037260: 65 7d 2c 6f 28 77 2c 5f 29 2c 6f 28 54 2c 5f 29 e},o(w,_),o(T,_)
00037270: 2c 6f 28 78 2c 5f 29 2c 78 2e 70 72 6f 74 6f 74 ,o(x,_),x.protot
00037280: 79 70 65 2e 69 6d 75 6c 4b 3d 66 75 6e 63 74 69 ype.imulK=functi
00037290: 6f 6e 28 65 29 7b 66 6f 72 28 76 61 72 20 74 3d on(e){for(var t=
000372a0: 30 2c 6e 3d 30 3b 6e 3c 65 2e 6c 65 6e 67 74 68 0,n=0;n<e.length
000372b0: 3b 6e 2b 2b 29 7b 76 61 72 20 72 3d 31 39 2a 28 ;n++){var r=19*(
000372c0: 30 7c 65 2e 77 6f 72 64 73 5b 6e 5d 29 2b 74 2c 0|e.words[n])+t,
000372d0: 6f 3d 36 37 31 30 38 38 36 33 26 72 3b 72 3e 3e o=67108863&r;r>>
000372e0: 3e 3d 32 36 2c 65 2e 77 6f 72 64 73 5b 6e 5d 3d >=26,e.words[n]=
000372f0: 6f 2c 74 3d 72 7d 72 65 74 75 72 6e 20 30 21 3d o,t=r}return 0!=
00037300: 3d 74 26 26 28 65 2e 77 6f 72 64 73 5b 65 2e 6c =t&&(e.words[e.l
00037310: 65 6e 67 74 68 2b 2b 5d 3d 74 29 2c 65 7d 2c 69 ength++]=t),e},i
00037320: 2e 5f 70 72 69 6d 65 3d 66 75 6e 63 74 69 6f 6e ._prime=function
00037330: 28 65 29 7b 69 66 28 45 5b 65 5d 29 72 65 74 75 (e){if(E[e])retu
00037340: 72 6e 20 45 5b 65 5d 3b 76 61 72 20 74 3b 69 66 rn E[e];var t;if
00037350: 28 22 6b 32 35 36 22 3d 3d 3d 65 29 74 3d 6e 65 ("k256"===e)t=ne
00037360: 77 20 53 3b 65 6c 73 65 20 69 66 28 22 70 32 32 w S;else if("p22
00037370: 34 22 3d 3d 3d 65 29 74 3d 6e 65 77 20 77 3b 65 4"===e)t=new w;e
00037380: 6c 73 65 20 69 66 28 22 70 31 39 32 22 3d 3d 3d lse if("p192"===
00037390: 65 29 74 3d 6e 65 77 20 54 3b 65 6c 73 65 7b 69 e)t=new T;else{i
000373a0: 66 28 22 70 32 35 35 31 39 22 21 3d 3d 65 29 74 f("p25519"!==e)t
000373b0: 68 72 6f 77 20 6e 65 77 20 45 72 72 6f 72 28 22 hrow new Error("
000373c0: 55 6e 6b 6e 6f 77 6e 20 70 72 69 6d 65 20 22 2b Unknown prime "+
000373d0: 65 29 3b 74 3d 6e 65 77 20 78 7d 72 65 74 75 72 e);t=new x}retur
000373e0: 6e 20 45 5b 65 5d 3d 74 2c 74 7d 2c 41 2e 70 72 n E[e]=t,t},A.pr
000373f0: 6f 74 6f 74 79 70 65 2e 5f 76 65 72 69 66 79 31 ototype._verify1
00037400: 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 28 30 =function(e){r(0
00037410: 3d 3d 3d 65 2e 6e 65 67 61 74 69 76 65 2c 22 72 ===e.negative,"r
00037420: 65 64 20 77 6f 72 6b 73 20 6f 6e 6c 79 20 77 69 ed works only wi
00037430: 74 68 20 70 6f 73 69 74 69 76 65 73 22 29 2c 72 th positives"),r
00037440: 28 65 2e 72 65 64 2c 22 72 65 64 20 77 6f 72 6b (e.red,"red work
00037450: 73 20 6f 6e 6c 79 20 77 69 74 68 20 72 65 64 20 s only with red
00037460: 6e 75 6d 62 65 72 73 22 29 7d 2c 41 2e 70 72 6f numbers")},A.pro
00037470: 74 6f 74 79 70 65 2e 5f 76 65 72 69 66 79 32 3d totype._verify2=
00037480: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 28 function(e,t){r(
00037490: 30 3d 3d 28 65 2e 6e 65 67 61 74 69 76 65 7c 74 0==(e.negative|t
000374a0: 2e 6e 65 67 61 74 69 76 65 29 2c 22 72 65 64 20 .negative),"red
000374b0: 77 6f 72 6b 73 20 6f 6e 6c 79 20 77 69 74 68 20 works only with
000374c0: 70 6f 73 69 74 69 76 65 73 22 29 2c 72 28 65 2e positives"),r(e.
000374d0: 72 65 64 26 26 65 2e 72 65 64 3d 3d 3d 74 2e 72 red&&e.red===t.r
000374e0: 65 64 2c 22 72 65 64 20 77 6f 72 6b 73 20 6f 6e ed,"red works on
000374f0: 6c 79 20 77 69 74 68 20 72 65 64 20 6e 75 6d 62 ly with red numb
00037500: 65 72 73 22 29 7d 2c 41 2e 70 72 6f 74 6f 74 79 ers")},A.prototy
00037510: 70 65 2e 69 6d 6f 64 3d 66 75 6e 63 74 69 6f 6e pe.imod=function
00037520: 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 73 2e (e){return this.
00037530: 70 72 69 6d 65 3f 74 68 69 73 2e 70 72 69 6d 65 prime?this.prime
00037540: 2e 69 72 65 64 75 63 65 28 65 29 2e 5f 66 6f 72 .ireduce(e)._for
00037550: 63 65 52 65 64 28 74 68 69 73 29 3a 28 75 28 65 ceRed(this):(u(e
00037560: 2c 65 2e 75 6d 6f 64 28 74 68 69 73 2e 6d 29 2e ,e.umod(this.m).
00037570: 5f 66 6f 72 63 65 52 65 64 28 74 68 69 73 29 29 _forceRed(this))
00037580: 2c 65 29 7d 2c 41 2e 70 72 6f 74 6f 74 79 70 65 ,e)},A.prototype
00037590: 2e 6e 65 67 3d 66 75 6e 63 74 69 6f 6e 28 65 29 .neg=function(e)
000375a0: 7b 72 65 74 75 72 6e 20 65 2e 69 73 5a 65 72 6f {return e.isZero
000375b0: 28 29 3f 65 2e 63 6c 6f 6e 65 28 29 3a 74 68 69 ()?e.clone():thi
000375c0: 73 2e 6d 2e 73 75 62 28 65 29 2e 5f 66 6f 72 63 s.m.sub(e)._forc
000375d0: 65 52 65 64 28 74 68 69 73 29 7d 2c 41 2e 70 72 eRed(this)},A.pr
000375e0: 6f 74 6f 74 79 70 65 2e 61 64 64 3d 66 75 6e 63 ototype.add=func
000375f0: 74 69 6f 6e 28 65 2c 74 29 7b 74 68 69 73 2e 5f tion(e,t){this._
00037600: 76 65 72 69 66 79 32 28 65 2c 74 29 3b 76 61 72 verify2(e,t);var
00037610: 20 6e 3d 65 2e 61 64 64 28 74 29 3b 72 65 74 75 n=e.add(t);retu
00037620: 72 6e 20 6e 2e 63 6d 70 28 74 68 69 73 2e 6d 29 rn n.cmp(this.m)
00037630: 3e 3d 30 26 26 6e 2e 69 73 75 62 28 74 68 69 73 >=0&&n.isub(this
00037640: 2e 6d 29 2c 6e 2e 5f 66 6f 72 63 65 52 65 64 28 .m),n._forceRed(
00037650: 74 68 69 73 29 7d 2c 41 2e 70 72 6f 74 6f 74 79 this)},A.prototy
00037660: 70 65 2e 69 61 64 64 3d 66 75 6e 63 74 69 6f 6e pe.iadd=function
00037670: 28 65 2c 74 29 7b 74 68 69 73 2e 5f 76 65 72 69 (e,t){this._veri
00037680: 66 79 32 28 65 2c 74 29 3b 76 61 72 20 6e 3d 65 fy2(e,t);var n=e
00037690: 2e 69 61 64 64 28 74 29 3b 72 65 74 75 72 6e 20 .iadd(t);return
000376a0: 6e 2e 63 6d 70 28 74 68 69 73 2e 6d 29 3e 3d 30 n.cmp(this.m)>=0
000376b0: 26 26 6e 2e 69 73 75 62 28 74 68 69 73 2e 6d 29 &&n.isub(this.m)
000376c0: 2c 6e 7d 2c 41 2e 70 72 6f 74 6f 74 79 70 65 2e ,n},A.prototype.
000376d0: 73 75 62 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 sub=function(e,t
000376e0: 29 7b 74 68 69 73 2e 5f 76 65 72 69 66 79 32 28 ){this._verify2(
000376f0: 65 2c 74 29 3b 76 61 72 20 6e 3d 65 2e 73 75 62 e,t);var n=e.sub
00037700: 28 74 29 3b 72 65 74 75 72 6e 20 6e 2e 63 6d 70 (t);return n.cmp
00037710: 6e 28 30 29 3c 30 26 26 6e 2e 69 61 64 64 28 74 n(0)<0&&n.iadd(t
00037720: 68 69 73 2e 6d 29 2c 6e 2e 5f 66 6f 72 63 65 52 his.m),n._forceR
00037730: 65 64 28 74 68 69 73 29 7d 2c 41 2e 70 72 6f 74 ed(this)},A.prot
00037740: 6f 74 79 70 65 2e 69 73 75 62 3d 66 75 6e 63 74 otype.isub=funct
00037750: 69 6f 6e 28 65 2c 74 29 7b 74 68 69 73 2e 5f 76 ion(e,t){this._v
00037760: 65 72 69 66 79 32 28 65 2c 74 29 3b 76 61 72 20 erify2(e,t);var
00037770: 6e 3d 65 2e 69 73 75 62 28 74 29 3b 72 65 74 75 n=e.isub(t);retu
00037780: 72 6e 20 6e 2e 63 6d 70 6e 28 30 29 3c 30 26 26 rn n.cmpn(0)<0&&
00037790: 6e 2e 69 61 64 64 28 74 68 69 73 2e 6d 29 2c 6e n.iadd(this.m),n
000377a0: 7d 2c 41 2e 70 72 6f 74 6f 74 79 70 65 2e 73 68 },A.prototype.sh
000377b0: 6c 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b l=function(e,t){
000377c0: 72 65 74 75 72 6e 20 74 68 69 73 2e 5f 76 65 72 return this._ver
000377d0: 69 66 79 31 28 65 29 2c 74 68 69 73 2e 69 6d 6f ify1(e),this.imo
000377e0: 64 28 65 2e 75 73 68 6c 6e 28 74 29 29 7d 2c 41 d(e.ushln(t))},A
000377f0: 2e 70 72 6f 74 6f 74 79 70 65 2e 69 6d 75 6c 3d .prototype.imul=
00037800: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 function(e,t){re
00037810: 74 75 72 6e 20 74 68 69 73 2e 5f 76 65 72 69 66 turn this._verif
00037820: 79 32 28 65 2c 74 29 2c 74 68 69 73 2e 69 6d 6f y2(e,t),this.imo
00037830: 64 28 65 2e 69 6d 75 6c 28 74 29 29 7d 2c 41 2e d(e.imul(t))},A.
00037840: 70 72 6f 74 6f 74 79 70 65 2e 6d 75 6c 3d 66 75 prototype.mul=fu
00037850: 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 74 75 nction(e,t){retu
00037860: 72 6e 20 74 68 69 73 2e 5f 76 65 72 69 66 79 32 rn this._verify2
00037870: 28 65 2c 74 29 2c 74 68 69 73 2e 69 6d 6f 64 28 (e,t),this.imod(
00037880: 65 2e 6d 75 6c 28 74 29 29 7d 2c 41 2e 70 72 6f e.mul(t))},A.pro
00037890: 74 6f 74 79 70 65 2e 69 73 71 72 3d 66 75 6e 63 totype.isqr=func
000378a0: 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 tion(e){return t
000378b0: 68 69 73 2e 69 6d 75 6c 28 65 2c 65 2e 63 6c 6f his.imul(e,e.clo
000378c0: 6e 65 28 29 29 7d 2c 41 2e 70 72 6f 74 6f 74 79 ne())},A.prototy
000378d0: 70 65 2e 73 71 72 3d 66 75 6e 63 74 69 6f 6e 28 pe.sqr=function(
000378e0: 65 29 7b 72 65 74 75 72 6e 20 74 68 69 73 2e 6d e){return this.m
000378f0: 75 6c 28 65 2c 65 29 7d 2c 41 2e 70 72 6f 74 6f ul(e,e)},A.proto
00037900: 74 79 70 65 2e 73 71 72 74 3d 66 75 6e 63 74 69 type.sqrt=functi
00037910: 6f 6e 28 65 29 7b 69 66 28 65 2e 69 73 5a 65 72 on(e){if(e.isZer
00037920: 6f 28 29 29 72 65 74 75 72 6e 20 65 2e 63 6c 6f o())return e.clo
00037930: 6e 65 28 29 3b 76 61 72 20 74 3d 74 68 69 73 2e ne();var t=this.
00037940: 6d 2e 61 6e 64 6c 6e 28 33 29 3b 69 66 28 72 28 m.andln(3);if(r(
00037950: 74 25 32 3d 3d 31 29 2c 33 3d 3d 3d 74 29 7b 76 t%2==1),3===t){v
00037960: 61 72 20 6e 3d 74 68 69 73 2e 6d 2e 61 64 64 28 ar n=this.m.add(
00037970: 6e 65 77 20 69 28 31 29 29 2e 69 75 73 68 72 6e new i(1)).iushrn
00037980: 28 32 29 3b 72 65 74 75 72 6e 20 74 68 69 73 2e (2);return this.
00037990: 70 6f 77 28 65 2c 6e 29 7d 66 6f 72 28 76 61 72 pow(e,n)}for(var
000379a0: 20 6f 3d 74 68 69 73 2e 6d 2e 73 75 62 6e 28 31 o=this.m.subn(1
000379b0: 29 2c 61 3d 30 3b 21 6f 2e 69 73 5a 65 72 6f 28 ),a=0;!o.isZero(
000379c0: 29 26 26 30 3d 3d 3d 6f 2e 61 6e 64 6c 6e 28 31 )&&0===o.andln(1
000379d0: 29 3b 29 61 2b 2b 2c 6f 2e 69 75 73 68 72 6e 28 );)a++,o.iushrn(
000379e0: 31 29 3b 72 28 21 6f 2e 69 73 5a 65 72 6f 28 29 1);r(!o.isZero()
000379f0: 29 3b 76 61 72 20 73 3d 6e 65 77 20 69 28 31 29 );var s=new i(1)
00037a00: 2e 74 6f 52 65 64 28 74 68 69 73 29 2c 6c 3d 73 .toRed(this),l=s
00037a10: 2e 72 65 64 4e 65 67 28 29 2c 63 3d 74 68 69 73 .redNeg(),c=this
00037a20: 2e 6d 2e 73 75 62 6e 28 31 29 2e 69 75 73 68 72 .m.subn(1).iushr
00037a30: 6e 28 31 29 2c 75 3d 74 68 69 73 2e 6d 2e 62 69 n(1),u=this.m.bi
00037a40: 74 4c 65 6e 67 74 68 28 29 3b 66 6f 72 28 75 3d tLength();for(u=
00037a50: 6e 65 77 20 69 28 32 2a 75 2a 75 29 2e 74 6f 52 new i(2*u*u).toR
00037a60: 65 64 28 74 68 69 73 29 3b 30 21 3d 3d 74 68 69 ed(this);0!==thi
00037a70: 73 2e 70 6f 77 28 75 2c 63 29 2e 63 6d 70 28 6c s.pow(u,c).cmp(l
00037a80: 29 3b 29 75 2e 72 65 64 49 41 64 64 28 6c 29 3b );)u.redIAdd(l);
00037a90: 66 6f 72 28 76 61 72 20 64 3d 74 68 69 73 2e 70 for(var d=this.p
00037aa0: 6f 77 28 75 2c 6f 29 2c 70 3d 74 68 69 73 2e 70 ow(u,o),p=this.p
00037ab0: 6f 77 28 65 2c 6f 2e 61 64 64 6e 28 31 29 2e 69 ow(e,o.addn(1).i
00037ac0: 75 73 68 72 6e 28 31 29 29 2c 66 3d 74 68 69 73 ushrn(1)),f=this
00037ad0: 2e 70 6f 77 28 65 2c 6f 29 2c 6d 3d 61 3b 30 21 .pow(e,o),m=a;0!
00037ae0: 3d 3d 66 2e 63 6d 70 28 73 29 3b 29 7b 66 6f 72 ==f.cmp(s);){for
00037af0: 28 76 61 72 20 68 3d 66 2c 67 3d 30 3b 30 21 3d (var h=f,g=0;0!=
00037b00: 3d 68 2e 63 6d 70 28 73 29 3b 67 2b 2b 29 68 3d =h.cmp(s);g++)h=
00037b10: 68 2e 72 65 64 53 71 72 28 29 3b 72 28 67 3c 6d h.redSqr();r(g<m
00037b20: 29 3b 76 61 72 20 62 3d 74 68 69 73 2e 70 6f 77 );var b=this.pow
00037b30: 28 64 2c 6e 65 77 20 69 28 31 29 2e 69 75 73 68 (d,new i(1).iush
00037b40: 6c 6e 28 6d 2d 67 2d 31 29 29 3b 70 3d 70 2e 72 ln(m-g-1));p=p.r
00037b50: 65 64 4d 75 6c 28 62 29 2c 64 3d 62 2e 72 65 64 edMul(b),d=b.red
00037b60: 53 71 72 28 29 2c 66 3d 66 2e 72 65 64 4d 75 6c Sqr(),f=f.redMul
00037b70: 28 64 29 2c 6d 3d 67 7d 72 65 74 75 72 6e 20 70 (d),m=g}return p
00037b80: 7d 2c 41 2e 70 72 6f 74 6f 74 79 70 65 2e 69 6e },A.prototype.in
00037b90: 76 6d 3d 66 75 6e 63 74 69 6f 6e 28 65 29 7b 76 vm=function(e){v
00037ba0: 61 72 20 74 3d 65 2e 5f 69 6e 76 6d 70 28 74 68 ar t=e._invmp(th
00037bb0: 69 73 2e 6d 29 3b 72 65 74 75 72 6e 20 30 21 3d is.m);return 0!=
00037bc0: 3d 74 2e 6e 65 67 61 74 69 76 65 3f 28 74 2e 6e =t.negative?(t.n
00037bd0: 65 67 61 74 69 76 65 3d 30 2c 74 68 69 73 2e 69 egative=0,this.i
00037be0: 6d 6f 64 28 74 29 2e 72 65 64 4e 65 67 28 29 29 mod(t).redNeg())
00037bf0: 3a 74 68 69 73 2e 69 6d 6f 64 28 74 29 7d 2c 41 :this.imod(t)},A
00037c00: 2e 70 72 6f 74 6f 74 79 70 65 2e 70 6f 77 3d 66 .prototype.pow=f
00037c10: 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 69 66 28 unction(e,t){if(
00037c20: 74 2e 69 73 5a 65 72 6f 28 29 29 72 65 74 75 72 t.isZero())retur
00037c30: 6e 20 6e 65 77 20 69 28 31 29 2e 74 6f 52 65 64 n new i(1).toRed
00037c40: 28 74 68 69 73 29 3b 69 66 28 30 3d 3d 3d 74 2e (this);if(0===t.
00037c50: 63 6d 70 6e 28 31 29 29 72 65 74 75 72 6e 20 65 cmpn(1))return e
00037c60: 2e 63 6c 6f 6e 65 28 29 3b 76 61 72 20 6e 3d 6e .clone();var n=n
00037c70: 65 77 20 41 72 72 61 79 28 31 36 29 3b 6e 5b 30 ew Array(16);n[0
00037c80: 5d 3d 6e 65 77 20 69 28 31 29 2e 74 6f 52 65 64 ]=new i(1).toRed
00037c90: 28 74 68 69 73 29 2c 6e 5b 31 5d 3d 65 3b 66 6f (this),n[1]=e;fo
00037ca0: 72 28 76 61 72 20 72 3d 32 3b 72 3c 6e 2e 6c 65 r(var r=2;r<n.le
00037cb0: 6e 67 74 68 3b 72 2b 2b 29 6e 5b 72 5d 3d 74 68 ngth;r++)n[r]=th
00037cc0: 69 73 2e 6d 75 6c 28 6e 5b 72 2d 31 5d 2c 65 29 is.mul(n[r-1],e)
00037cd0: 3b 76 61 72 20 6f 3d 6e 5b 30 5d 2c 61 3d 30 2c ;var o=n[0],a=0,
00037ce0: 73 3d 30 2c 6c 3d 74 2e 62 69 74 4c 65 6e 67 74 s=0,l=t.bitLengt
00037cf0: 68 28 29 25 32 36 3b 66 6f 72 28 30 3d 3d 3d 6c h()%26;for(0===l
00037d00: 26 26 28 6c 3d 32 36 29 2c 72 3d 74 2e 6c 65 6e &&(l=26),r=t.len
00037d10: 67 74 68 2d 31 3b 72 3e 3d 30 3b 72 2d 2d 29 7b gth-1;r>=0;r--){
00037d20: 66 6f 72 28 76 61 72 20 63 3d 74 2e 77 6f 72 64 for(var c=t.word
00037d30: 73 5b 72 5d 2c 75 3d 6c 2d 31 3b 75 3e 3d 30 3b s[r],u=l-1;u>=0;
00037d40: 75 2d 2d 29 7b 76 61 72 20 64 3d 63 3e 3e 75 26 u--){var d=c>>u&
00037d50: 31 3b 6f 21 3d 3d 6e 5b 30 5d 26 26 28 6f 3d 74 1;o!==n[0]&&(o=t
00037d60: 68 69 73 2e 73 71 72 28 6f 29 29 2c 30 21 3d 3d his.sqr(o)),0!==
00037d70: 64 7c 7c 30 21 3d 3d 61 3f 28 61 3c 3c 3d 31 2c d||0!==a?(a<<=1,
00037d80: 61 7c 3d 64 2c 28 34 3d 3d 3d 2b 2b 73 7c 7c 30 a|=d,(4===++s||0
00037d90: 3d 3d 3d 72 26 26 30 3d 3d 3d 75 29 26 26 28 6f ===r&&0===u)&&(o
00037da0: 3d 74 68 69 73 2e 6d 75 6c 28 6f 2c 6e 5b 61 5d =this.mul(o,n[a]
00037db0: 29 2c 73 3d 30 2c 61 3d 30 29 29 3a 73 3d 30 7d ),s=0,a=0)):s=0}
00037dc0: 6c 3d 32 36 7d 72 65 74 75 72 6e 20 6f 7d 2c 41 l=26}return o},A
00037dd0: 2e 70 72 6f 74 6f 74 79 70 65 2e 63 6f 6e 76 65 .prototype.conve
00037de0: 72 74 54 6f 3d 66 75 6e 63 74 69 6f 6e 28 65 29 rtTo=function(e)
00037df0: 7b 76 61 72 20 74 3d 65 2e 75 6d 6f 64 28 74 68 {var t=e.umod(th
00037e00: 69 73 2e 6d 29 3b 72 65 74 75 72 6e 20 74 3d 3d is.m);return t==
00037e10: 3d 65 3f 74 2e 63 6c 6f 6e 65 28 29 3a 74 7d 2c =e?t.clone():t},
00037e20: 41 2e 70 72 6f 74 6f 74 79 70 65 2e 63 6f 6e 76 A.prototype.conv
00037e30: 65 72 74 46 72 6f 6d 3d 66 75 6e 63 74 69 6f 6e ertFrom=function
00037e40: 28 65 29 7b 76 61 72 20 74 3d 65 2e 63 6c 6f 6e (e){var t=e.clon
00037e50: 65 28 29 3b 72 65 74 75 72 6e 20 74 2e 72 65 64 e();return t.red
00037e60: 3d 6e 75 6c 6c 2c 74 7d 2c 69 2e 6d 6f 6e 74 3d =null,t},i.mont=
00037e70: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 function(e){retu
00037e80: 72 6e 20 6e 65 77 20 43 28 65 29 7d 2c 6f 28 43 rn new C(e)},o(C
00037e90: 2c 41 29 2c 43 2e 70 72 6f 74 6f 74 79 70 65 2e ,A),C.prototype.
00037ea0: 63 6f 6e 76 65 72 74 54 6f 3d 66 75 6e 63 74 69 convertTo=functi
00037eb0: 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 on(e){return thi
00037ec0: 73 2e 69 6d 6f 64 28 65 2e 75 73 68 6c 6e 28 74 s.imod(e.ushln(t
00037ed0: 68 69 73 2e 73 68 69 66 74 29 29 7d 2c 43 2e 70 his.shift))},C.p
00037ee0: 72 6f 74 6f 74 79 70 65 2e 63 6f 6e 76 65 72 74 rototype.convert
00037ef0: 46 72 6f 6d 3d 66 75 6e 63 74 69 6f 6e 28 65 29 From=function(e)
00037f00: 7b 76 61 72 20 74 3d 74 68 69 73 2e 69 6d 6f 64 {var t=this.imod
00037f10: 28 65 2e 6d 75 6c 28 74 68 69 73 2e 72 69 6e 76 (e.mul(this.rinv
00037f20: 29 29 3b 72 65 74 75 72 6e 20 74 2e 72 65 64 3d ));return t.red=
00037f30: 6e 75 6c 6c 2c 74 7d 2c 43 2e 70 72 6f 74 6f 74 null,t},C.protot
00037f40: 79 70 65 2e 69 6d 75 6c 3d 66 75 6e 63 74 69 6f ype.imul=functio
00037f50: 6e 28 65 2c 74 29 7b 69 66 28 65 2e 69 73 5a 65 n(e,t){if(e.isZe
00037f60: 72 6f 28 29 7c 7c 74 2e 69 73 5a 65 72 6f 28 29 ro()||t.isZero()
00037f70: 29 72 65 74 75 72 6e 20 65 2e 77 6f 72 64 73 5b )return e.words[
00037f80: 30 5d 3d 30 2c 65 2e 6c 65 6e 67 74 68 3d 31 2c 0]=0,e.length=1,
00037f90: 65 3b 76 61 72 20 6e 3d 65 2e 69 6d 75 6c 28 74 e;var n=e.imul(t
00037fa0: 29 2c 72 3d 6e 2e 6d 61 73 6b 6e 28 74 68 69 73 ),r=n.maskn(this
00037fb0: 2e 73 68 69 66 74 29 2e 6d 75 6c 28 74 68 69 73 .shift).mul(this
00037fc0: 2e 6d 69 6e 76 29 2e 69 6d 61 73 6b 6e 28 74 68 .minv).imaskn(th
00037fd0: 69 73 2e 73 68 69 66 74 29 2e 6d 75 6c 28 74 68 is.shift).mul(th
00037fe0: 69 73 2e 6d 29 2c 6f 3d 6e 2e 69 73 75 62 28 72 is.m),o=n.isub(r
00037ff0: 29 2e 69 75 73 68 72 6e 28 74 68 69 73 2e 73 68 ).iushrn(this.sh
00038000: 69 66 74 29 2c 69 3d 6f 3b 72 65 74 75 72 6e 20 ift),i=o;return
00038010: 6f 2e 63 6d 70 28 74 68 69 73 2e 6d 29 3e 3d 30 o.cmp(this.m)>=0
00038020: 3f 69 3d 6f 2e 69 73 75 62 28 74 68 69 73 2e 6d ?i=o.isub(this.m
00038030: 29 3a 6f 2e 63 6d 70 6e 28 30 29 3c 30 26 26 28 ):o.cmpn(0)<0&&(
00038040: 69 3d 6f 2e 69 61 64 64 28 74 68 69 73 2e 6d 29 i=o.iadd(this.m)
00038050: 29 2c 69 2e 5f 66 6f 72 63 65 52 65 64 28 74 68 ),i._forceRed(th
00038060: 69 73 29 7d 2c 43 2e 70 72 6f 74 6f 74 79 70 65 is)},C.prototype
00038070: 2e 6d 75 6c 3d 66 75 6e 63 74 69 6f 6e 28 65 2c .mul=function(e,
00038080: 74 29 7b 69 66 28 65 2e 69 73 5a 65 72 6f 28 29 t){if(e.isZero()
00038090: 7c 7c 74 2e 69 73 5a 65 72 6f 28 29 29 72 65 74 ||t.isZero())ret
000380a0: 75 72 6e 20 6e 65 77 20 69 28 30 29 2e 5f 66 6f urn new i(0)._fo
000380b0: 72 63 65 52 65 64 28 74 68 69 73 29 3b 76 61 72 rceRed(this);var
000380c0: 20 6e 3d 65 2e 6d 75 6c 28 74 29 2c 72 3d 6e 2e n=e.mul(t),r=n.
000380d0: 6d 61 73 6b 6e 28 74 68 69 73 2e 73 68 69 66 74 maskn(this.shift
000380e0: 29 2e 6d 75 6c 28 74 68 69 73 2e 6d 69 6e 76 29 ).mul(this.minv)
000380f0: 2e 69 6d 61 73 6b 6e 28 74 68 69 73 2e 73 68 69 .imaskn(this.shi
00038100: 66 74 29 2e 6d 75 6c 28 74 68 69 73 2e 6d 29 2c ft).mul(this.m),
00038110: 6f 3d 6e 2e 69 73 75 62 28 72 29 2e 69 75 73 68 o=n.isub(r).iush
00038120: 72 6e 28 74 68 69 73 2e 73 68 69 66 74 29 2c 61 rn(this.shift),a
00038130: 3d 6f 3b 72 65 74 75 72 6e 20 6f 2e 63 6d 70 28 =o;return o.cmp(
00038140: 74 68 69 73 2e 6d 29 3e 3d 30 3f 61 3d 6f 2e 69 this.m)>=0?a=o.i
00038150: 73 75 62 28 74 68 69 73 2e 6d 29 3a 6f 2e 63 6d sub(this.m):o.cm
00038160: 70 6e 28 30 29 3c 30 26 26 28 61 3d 6f 2e 69 61 pn(0)<0&&(a=o.ia
00038170: 64 64 28 74 68 69 73 2e 6d 29 29 2c 61 2e 5f 66 dd(this.m)),a._f
00038180: 6f 72 63 65 52 65 64 28 74 68 69 73 29 7d 2c 43 orceRed(this)},C
00038190: 2e 70 72 6f 74 6f 74 79 70 65 2e 69 6e 76 6d 3d .prototype.invm=
000381a0: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 function(e){retu
000381b0: 72 6e 20 74 68 69 73 2e 69 6d 6f 64 28 65 2e 5f rn this.imod(e._
000381c0: 69 6e 76 6d 70 28 74 68 69 73 2e 6d 29 2e 6d 75 invmp(this.m).mu
000381d0: 6c 28 74 68 69 73 2e 72 32 29 29 2e 5f 66 6f 72 l(this.r2))._for
000381e0: 63 65 52 65 64 28 74 68 69 73 29 7d 7d 28 65 3d ceRed(this)}}(e=
000381f0: 6e 2e 6e 6d 64 28 65 29 2c 74 68 69 73 29 7d 2c n.nmd(e),this)},
00038200: 31 35 35 37 37 3a 66 75 6e 63 74 69 6f 6e 28 65 15577:function(e
00038210: 29 7b 65 2e 65 78 70 6f 72 74 73 3d 66 75 6e 63 ){e.exports=func
00038220: 74 69 6f 6e 28 29 7b 22 75 73 65 20 73 74 72 69 tion(){"use stri
00038230: 63 74 22 3b 63 6f 6e 73 74 20 65 3d 6e 65 77 20 ct";const e=new
00038240: 4d 61 70 2c 74 3d 7b 73 65 74 28 74 2c 6e 2c 72 Map,t={set(t,n,r
00038250: 29 7b 65 2e 68 61 73 28 74 29 7c 7c 65 2e 73 65 ){e.has(t)||e.se
00038260: 74 28 74 2c 6e 65 77 20 4d 61 70 29 3b 63 6f 6e t(t,new Map);con
00038270: 73 74 20 6f 3d 65 2e 67 65 74 28 74 29 3b 6f 2e st o=e.get(t);o.
00038280: 68 61 73 28 6e 29 7c 7c 30 3d 3d 3d 6f 2e 73 69 has(n)||0===o.si
00038290: 7a 65 3f 6f 2e 73 65 74 28 6e 2c 72 29 3a 63 6f ze?o.set(n,r):co
000382a0: 6e 73 6f 6c 65 2e 65 72 72 6f 72 28 60 42 6f 6f nsole.error(`Boo
000382b0: 74 73 74 72 61 70 20 64 6f 65 73 6e 27 74 20 61 tstrap doesn't a
000382c0: 6c 6c 6f 77 20 6d 6f 72 65 20 74 68 61 6e 20 6f llow more than o
000382d0: 6e 65 20 69 6e 73 74 61 6e 63 65 20 70 65 72 20 ne instance per
000382e0: 65 6c 65 6d 65 6e 74 2e 20 42 6f 75 6e 64 20 69 element. Bound i
000382f0: 6e 73 74 61 6e 63 65 3a 20 24 7b 41 72 72 61 79 nstance: ${Array
00038300: 2e 66 72 6f 6d 28 6f 2e 6b 65 79 73 28 29 29 5b .from(o.keys())[
00038310: 30 5d 7d 2e 60 29 7d 2c 67 65 74 28 74 2c 6e 29 0]}.`)},get(t,n)
00038320: 7b 72 65 74 75 72 6e 20 65 2e 68 61 73 28 74 29 {return e.has(t)
00038330: 26 26 65 2e 67 65 74 28 74 29 2e 67 65 74 28 6e &&e.get(t).get(n
00038340: 29 7c 7c 6e 75 6c 6c 7d 2c 72 65 6d 6f 76 65 28 )||null},remove(
00038350: 74 2c 6e 29 7b 69 66 28 21 65 2e 68 61 73 28 74 t,n){if(!e.has(t
00038360: 29 29 72 65 74 75 72 6e 3b 63 6f 6e 73 74 20 72 ))return;const r
00038370: 3d 65 2e 67 65 74 28 74 29 3b 72 2e 64 65 6c 65 =e.get(t);r.dele
00038380: 74 65 28 6e 29 2c 30 3d 3d 3d 72 2e 73 69 7a 65 te(n),0===r.size
00038390: 26 26 65 2e 64 65 6c 65 74 65 28 74 29 7d 7d 2c &&e.delete(t)}},
000383a0: 6e 3d 31 65 36 2c 72 3d 31 65 33 2c 6f 3d 22 74 n=1e6,r=1e3,o="t
000383b0: 72 61 6e 73 69 74 69 6f 6e 65 6e 64 22 2c 69 3d ransitionend",i=
000383c0: 65 3d 3e 28 65 26 26 77 69 6e 64 6f 77 2e 43 53 e=>(e&&window.CS
000383d0: 53 26 26 77 69 6e 64 6f 77 2e 43 53 53 2e 65 73 S&&window.CSS.es
000383e0: 63 61 70 65 26 26 28 65 3d 65 2e 72 65 70 6c 61 cape&&(e=e.repla
000383f0: 63 65 28 2f 23 28 5b 5e 5c 73 22 23 27 5d 2b 29 ce(/#([^\s"#']+)
00038400: 2f 67 2c 28 28 65 2c 74 29 3d 3e 60 23 24 7b 43 /g,((e,t)=>`#${C
00038410: 53 53 2e 65 73 63 61 70 65 28 74 29 7d 60 29 29 SS.escape(t)}`))
00038420: 29 2c 65 29 2c 61 3d 65 3d 3e 6e 75 6c 6c 3d 3d ),e),a=e=>null==
00038430: 65 3f 60 24 7b 65 7d 60 3a 4f 62 6a 65 63 74 2e e?`${e}`:Object.
00038440: 70 72 6f 74 6f 74 79 70 65 2e 74 6f 53 74 72 69 prototype.toStri
00038450: 6e 67 2e 63 61 6c 6c 28 65 29 2e 6d 61 74 63 68 ng.call(e).match
00038460: 28 2f 5c 73 28 5b 61 2d 7a 5d 2b 29 2f 69 29 5b (/\s([a-z]+)/i)[
00038470: 31 5d 2e 74 6f 4c 6f 77 65 72 43 61 73 65 28 29 1].toLowerCase()
00038480: 2c 73 3d 65 3d 3e 7b 64 6f 7b 65 2b 3d 4d 61 74 ,s=e=>{do{e+=Mat
00038490: 68 2e 66 6c 6f 6f 72 28 4d 61 74 68 2e 72 61 6e h.floor(Math.ran
000384a0: 64 6f 6d 28 29 2a 6e 29 7d 77 68 69 6c 65 28 64 dom()*n)}while(d
000384b0: 6f 63 75 6d 65 6e 74 2e 67 65 74 45 6c 65 6d 65 ocument.getEleme
000384c0: 6e 74 42 79 49 64 28 65 29 29 3b 72 65 74 75 72 ntById(e));retur
000384d0: 6e 20 65 7d 2c 6c 3d 65 3d 3e 7b 69 66 28 21 65 n e},l=e=>{if(!e
000384e0: 29 72 65 74 75 72 6e 20 30 3b 6c 65 74 7b 74 72 )return 0;let{tr
000384f0: 61 6e 73 69 74 69 6f 6e 44 75 72 61 74 69 6f 6e ansitionDuration
00038500: 3a 74 2c 74 72 61 6e 73 69 74 69 6f 6e 44 65 6c :t,transitionDel
00038510: 61 79 3a 6e 7d 3d 77 69 6e 64 6f 77 2e 67 65 74 ay:n}=window.get
00038520: 43 6f 6d 70 75 74 65 64 53 74 79 6c 65 28 65 29 ComputedStyle(e)
00038530: 3b 63 6f 6e 73 74 20 6f 3d 4e 75 6d 62 65 72 2e ;const o=Number.
00038540: 70 61 72 73 65 46 6c 6f 61 74 28 74 29 2c 69 3d parseFloat(t),i=
00038550: 4e 75 6d 62 65 72 2e 70 61 72 73 65 46 6c 6f 61 Number.parseFloa
00038560: 74 28 6e 29 3b 72 65 74 75 72 6e 20 6f 7c 7c 69 t(n);return o||i
00038570: 3f 28 74 3d 74 2e 73 70 6c 69 74 28 22 2c 22 29 ?(t=t.split(",")
00038580: 5b 30 5d 2c 6e 3d 6e 2e 73 70 6c 69 74 28 22 2c [0],n=n.split(",
00038590: 22 29 5b 30 5d 2c 28 4e 75 6d 62 65 72 2e 70 61 ")[0],(Number.pa
000385a0: 72 73 65 46 6c 6f 61 74 28 74 29 2b 4e 75 6d 62 rseFloat(t)+Numb
000385b0: 65 72 2e 70 61 72 73 65 46 6c 6f 61 74 28 6e 29 er.parseFloat(n)
000385c0: 29 2a 72 29 3a 30 7d 2c 63 3d 65 3d 3e 7b 65 2e )*r):0},c=e=>{e.
000385d0: 64 69 73 70 61 74 63 68 45 76 65 6e 74 28 6e 65 dispatchEvent(ne
000385e0: 77 20 45 76 65 6e 74 28 6f 29 29 7d 2c 75 3d 65 w Event(o))},u=e
000385f0: 3d 3e 21 28 21 65 7c 7c 22 6f 62 6a 65 63 74 22 =>!(!e||"object"
00038600: 21 3d 74 79 70 65 6f 66 20 65 29 26 26 28 76 6f !=typeof e)&&(vo
00038610: 69 64 20 30 21 3d 3d 65 2e 6a 71 75 65 72 79 26 id 0!==e.jquery&
00038620: 26 28 65 3d 65 5b 30 5d 29 2c 76 6f 69 64 20 30 &(e=e[0]),void 0
00038630: 21 3d 3d 65 2e 6e 6f 64 65 54 79 70 65 29 2c 64 !==e.nodeType),d
00038640: 3d 65 3d 3e 75 28 65 29 3f 65 2e 6a 71 75 65 72 =e=>u(e)?e.jquer
00038650: 79 3f 65 5b 30 5d 3a 65 3a 22 73 74 72 69 6e 67 y?e[0]:e:"string
00038660: 22 3d 3d 74 79 70 65 6f 66 20 65 26 26 65 2e 6c "==typeof e&&e.l
00038670: 65 6e 67 74 68 3e 30 3f 64 6f 63 75 6d 65 6e 74 ength>0?document
00038680: 2e 71 75 65 72 79 53 65 6c 65 63 74 6f 72 28 69 .querySelector(i
00038690: 28 65 29 29 3a 6e 75 6c 6c 2c 70 3d 65 3d 3e 7b (e)):null,p=e=>{
000386a0: 69 66 28 21 75 28 65 29 7c 7c 30 3d 3d 3d 65 2e if(!u(e)||0===e.
000386b0: 67 65 74 43 6c 69 65 6e 74 52 65 63 74 73 28 29 getClientRects()
000386c0: 2e 6c 65 6e 67 74 68 29 72 65 74 75 72 6e 21 31 .length)return!1
000386d0: 3b 63 6f 6e 73 74 20 74 3d 22 76 69 73 69 62 6c ;const t="visibl
000386e0: 65 22 3d 3d 3d 67 65 74 43 6f 6d 70 75 74 65 64 e"===getComputed
000386f0: 53 74 79 6c 65 28 65 29 2e 67 65 74 50 72 6f 70 Style(e).getProp
00038700: 65 72 74 79 56 61 6c 75 65 28 22 76 69 73 69 62 ertyValue("visib
00038710: 69 6c 69 74 79 22 29 2c 6e 3d 65 2e 63 6c 6f 73 ility"),n=e.clos
00038720: 65 73 74 28 22 64 65 74 61 69 6c 73 3a 6e 6f 74 est("details:not
00038730: 28 5b 6f 70 65 6e 5d 29 22 29 3b 69 66 28 21 6e ([open])");if(!n
00038740: 29 72 65 74 75 72 6e 20 74 3b 69 66 28 6e 21 3d )return t;if(n!=
00038750: 3d 65 29 7b 63 6f 6e 73 74 20 74 3d 65 2e 63 6c =e){const t=e.cl
00038760: 6f 73 65 73 74 28 22 73 75 6d 6d 61 72 79 22 29 osest("summary")
00038770: 3b 69 66 28 74 26 26 74 2e 70 61 72 65 6e 74 4e ;if(t&&t.parentN
00038780: 6f 64 65 21 3d 3d 6e 29 72 65 74 75 72 6e 21 31 ode!==n)return!1
00038790: 3b 69 66 28 6e 75 6c 6c 3d 3d 3d 74 29 72 65 74 ;if(null===t)ret
000387a0: 75 72 6e 21 31 7d 72 65 74 75 72 6e 20 74 7d 2c urn!1}return t},
000387b0: 66 3d 65 3d 3e 21 65 7c 7c 65 2e 6e 6f 64 65 54 f=e=>!e||e.nodeT
000387c0: 79 70 65 21 3d 3d 4e 6f 64 65 2e 45 4c 45 4d 45 ype!==Node.ELEME
000387d0: 4e 54 5f 4e 4f 44 45 7c 7c 21 21 65 2e 63 6c 61 NT_NODE||!!e.cla
000387e0: 73 73 4c 69 73 74 2e 63 6f 6e 74 61 69 6e 73 28 ssList.contains(
000387f0: 22 64 69 73 61 62 6c 65 64 22 29 7c 7c 28 76 6f "disabled")||(vo
00038800: 69 64 20 30 21 3d 3d 65 2e 64 69 73 61 62 6c 65 id 0!==e.disable
00038810: 64 3f 65 2e 64 69 73 61 62 6c 65 64 3a 65 2e 68 d?e.disabled:e.h
00038820: 61 73 41 74 74 72 69 62 75 74 65 28 22 64 69 73 asAttribute("dis
00038830: 61 62 6c 65 64 22 29 26 26 22 66 61 6c 73 65 22 abled")&&"false"
00038840: 21 3d 3d 65 2e 67 65 74 41 74 74 72 69 62 75 74 !==e.getAttribut
00038850: 65 28 22 64 69 73 61 62 6c 65 64 22 29 29 2c 6d e("disabled")),m
00038860: 3d 65 3d 3e 7b 69 66 28 21 64 6f 63 75 6d 65 6e =e=>{if(!documen
00038870: 74 2e 64 6f 63 75 6d 65 6e 74 45 6c 65 6d 65 6e t.documentElemen
00038880: 74 2e 61 74 74 61 63 68 53 68 61 64 6f 77 29 72 t.attachShadow)r
00038890: 65 74 75 72 6e 20 6e 75 6c 6c 3b 69 66 28 22 66 eturn null;if("f
000388a0: 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 unction"==typeof
000388b0: 20 65 2e 67 65 74 52 6f 6f 74 4e 6f 64 65 29 7b e.getRootNode){
000388c0: 63 6f 6e 73 74 20 74 3d 65 2e 67 65 74 52 6f 6f const t=e.getRoo
000388d0: 74 4e 6f 64 65 28 29 3b 72 65 74 75 72 6e 20 74 tNode();return t
000388e0: 20 69 6e 73 74 61 6e 63 65 6f 66 20 53 68 61 64 instanceof Shad
000388f0: 6f 77 52 6f 6f 74 3f 74 3a 6e 75 6c 6c 7d 72 65 owRoot?t:null}re
00038900: 74 75 72 6e 20 65 20 69 6e 73 74 61 6e 63 65 6f turn e instanceo
00038910: 66 20 53 68 61 64 6f 77 52 6f 6f 74 3f 65 3a 65 f ShadowRoot?e:e
00038920: 2e 70 61 72 65 6e 74 4e 6f 64 65 3f 6d 28 65 2e .parentNode?m(e.
00038930: 70 61 72 65 6e 74 4e 6f 64 65 29 3a 6e 75 6c 6c parentNode):null
00038940: 7d 2c 68 3d 28 29 3d 3e 7b 7d 2c 67 3d 65 3d 3e },h=()=>{},g=e=>
00038950: 7b 65 2e 6f 66 66 73 65 74 48 65 69 67 68 74 7d {e.offsetHeight}
00038960: 2c 62 3d 28 29 3d 3e 77 69 6e 64 6f 77 2e 6a 51 ,b=()=>window.jQ
00038970: 75 65 72 79 26 26 21 64 6f 63 75 6d 65 6e 74 2e uery&&!document.
00038980: 62 6f 64 79 2e 68 61 73 41 74 74 72 69 62 75 74 body.hasAttribut
00038990: 65 28 22 64 61 74 61 2d 62 73 2d 6e 6f 2d 6a 71 e("data-bs-no-jq
000389a0: 75 65 72 79 22 29 3f 77 69 6e 64 6f 77 2e 6a 51 uery")?window.jQ
000389b0: 75 65 72 79 3a 6e 75 6c 6c 2c 76 3d 5b 5d 2c 79 uery:null,v=[],y
000389c0: 3d 65 3d 3e 7b 22 6c 6f 61 64 69 6e 67 22 3d 3d =e=>{"loading"==
000389d0: 3d 64 6f 63 75 6d 65 6e 74 2e 72 65 61 64 79 53 =document.readyS
000389e0: 74 61 74 65 3f 28 76 2e 6c 65 6e 67 74 68 7c 7c tate?(v.length||
000389f0: 64 6f 63 75 6d 65 6e 74 2e 61 64 64 45 76 65 6e document.addEven
00038a00: 74 4c 69 73 74 65 6e 65 72 28 22 44 4f 4d 43 6f tListener("DOMCo
00038a10: 6e 74 65 6e 74 4c 6f 61 64 65 64 22 2c 28 28 29 ntentLoaded",(()
00038a20: 3d 3e 7b 66 6f 72 28 63 6f 6e 73 74 20 65 20 6f =>{for(const e o
00038a30: 66 20 76 29 65 28 29 7d 29 29 2c 76 2e 70 75 73 f v)e()})),v.pus
00038a40: 68 28 65 29 29 3a 65 28 29 7d 2c 45 3d 28 29 3d h(e)):e()},E=()=
00038a50: 3e 22 72 74 6c 22 3d 3d 3d 64 6f 63 75 6d 65 6e >"rtl"===documen
00038a60: 74 2e 64 6f 63 75 6d 65 6e 74 45 6c 65 6d 65 6e t.documentElemen
00038a70: 74 2e 64 69 72 2c 5f 3d 65 3d 3e 7b 79 28 28 28 t.dir,_=e=>{y(((
00038a80: 29 3d 3e 7b 63 6f 6e 73 74 20 74 3d 62 28 29 3b )=>{const t=b();
00038a90: 69 66 28 74 29 7b 63 6f 6e 73 74 20 6e 3d 65 2e if(t){const n=e.
00038aa0: 4e 41 4d 45 2c 72 3d 74 2e 66 6e 5b 6e 5d 3b 74 NAME,r=t.fn[n];t
00038ab0: 2e 66 6e 5b 6e 5d 3d 65 2e 6a 51 75 65 72 79 49 .fn[n]=e.jQueryI
00038ac0: 6e 74 65 72 66 61 63 65 2c 74 2e 66 6e 5b 6e 5d nterface,t.fn[n]
00038ad0: 2e 43 6f 6e 73 74 72 75 63 74 6f 72 3d 65 2c 74 .Constructor=e,t
00038ae0: 2e 66 6e 5b 6e 5d 2e 6e 6f 43 6f 6e 66 6c 69 63 .fn[n].noConflic
00038af0: 74 3d 28 29 3d 3e 28 74 2e 66 6e 5b 6e 5d 3d 72 t=()=>(t.fn[n]=r
00038b00: 2c 65 2e 6a 51 75 65 72 79 49 6e 74 65 72 66 61 ,e.jQueryInterfa
00038b10: 63 65 29 7d 7d 29 29 7d 2c 53 3d 28 65 2c 74 3d ce)}}))},S=(e,t=
00038b20: 5b 5d 2c 6e 3d 65 29 3d 3e 22 66 75 6e 63 74 69 [],n=e)=>"functi
00038b30: 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 65 3f 65 28 on"==typeof e?e(
00038b40: 2e 2e 2e 74 29 3a 6e 2c 77 3d 28 65 2c 74 2c 6e ...t):n,w=(e,t,n
00038b50: 3d 21 30 29 3d 3e 7b 69 66 28 21 6e 29 72 65 74 =!0)=>{if(!n)ret
00038b60: 75 72 6e 20 76 6f 69 64 20 53 28 65 29 3b 63 6f urn void S(e);co
00038b70: 6e 73 74 20 72 3d 35 2c 69 3d 6c 28 74 29 2b 72 nst r=5,i=l(t)+r
00038b80: 3b 6c 65 74 20 61 3d 21 31 3b 63 6f 6e 73 74 20 ;let a=!1;const
00038b90: 73 3d 28 7b 74 61 72 67 65 74 3a 6e 7d 29 3d 3e s=({target:n})=>
00038ba0: 7b 6e 3d 3d 3d 74 26 26 28 61 3d 21 30 2c 74 2e {n===t&&(a=!0,t.
00038bb0: 72 65 6d 6f 76 65 45 76 65 6e 74 4c 69 73 74 65 removeEventListe
00038bc0: 6e 65 72 28 6f 2c 73 29 2c 53 28 65 29 29 7d 3b ner(o,s),S(e))};
00038bd0: 74 2e 61 64 64 45 76 65 6e 74 4c 69 73 74 65 6e t.addEventListen
00038be0: 65 72 28 6f 2c 73 29 2c 73 65 74 54 69 6d 65 6f er(o,s),setTimeo
00038bf0: 75 74 28 28 28 29 3d 3e 7b 61 7c 7c 63 28 74 29 ut((()=>{a||c(t)
00038c00: 7d 29 2c 69 29 7d 2c 54 3d 28 65 2c 74 2c 6e 2c }),i)},T=(e,t,n,
00038c10: 72 29 3d 3e 7b 63 6f 6e 73 74 20 6f 3d 65 2e 6c r)=>{const o=e.l
00038c20: 65 6e 67 74 68 3b 6c 65 74 20 69 3d 65 2e 69 6e ength;let i=e.in
00038c30: 64 65 78 4f 66 28 74 29 3b 72 65 74 75 72 6e 2d dexOf(t);return-
00038c40: 31 3d 3d 3d 69 3f 21 6e 26 26 72 3f 65 5b 6f 2d 1===i?!n&&r?e[o-
00038c50: 31 5d 3a 65 5b 30 5d 3a 28 69 2b 3d 6e 3f 31 3a 1]:e[0]:(i+=n?1:
00038c60: 2d 31 2c 72 26 26 28 69 3d 28 69 2b 6f 29 25 6f -1,r&&(i=(i+o)%o
00038c70: 29 2c 65 5b 4d 61 74 68 2e 6d 61 78 28 30 2c 4d ),e[Math.max(0,M
00038c80: 61 74 68 2e 6d 69 6e 28 69 2c 6f 2d 31 29 29 5d ath.min(i,o-1))]
00038c90: 29 7d 2c 78 3d 2f 5b 5e 2e 5d 2a 28 3f 3d 5c 2e )},x=/[^.]*(?=\.
00038ca0: 2e 2a 29 5c 2e 7c 2e 2a 2f 2c 41 3d 2f 5c 2e 2e .*)\.|.*/,A=/\..
00038cb0: 2a 2f 2c 43 3d 2f 3a 3a 5c 64 2b 24 2f 2c 52 3d */,C=/::\d+$/,R=
00038cc0: 7b 7d 3b 6c 65 74 20 6b 3d 31 3b 63 6f 6e 73 74 {};let k=1;const
00038cd0: 20 4f 3d 7b 6d 6f 75 73 65 65 6e 74 65 72 3a 22 O={mouseenter:"
00038ce0: 6d 6f 75 73 65 6f 76 65 72 22 2c 6d 6f 75 73 65 mouseover",mouse
00038cf0: 6c 65 61 76 65 3a 22 6d 6f 75 73 65 6f 75 74 22 leave:"mouseout"
00038d00: 7d 2c 4e 3d 6e 65 77 20 53 65 74 28 5b 22 63 6c },N=new Set(["cl
00038d10: 69 63 6b 22 2c 22 64 62 6c 63 6c 69 63 6b 22 2c ick","dblclick",
00038d20: 22 6d 6f 75 73 65 75 70 22 2c 22 6d 6f 75 73 65 "mouseup","mouse
00038d30: 64 6f 77 6e 22 2c 22 63 6f 6e 74 65 78 74 6d 65 down","contextme
00038d40: 6e 75 22 2c 22 6d 6f 75 73 65 77 68 65 65 6c 22 nu","mousewheel"
00038d50: 2c 22 44 4f 4d 4d 6f 75 73 65 53 63 72 6f 6c 6c ,"DOMMouseScroll
00038d60: 22 2c 22 6d 6f 75 73 65 6f 76 65 72 22 2c 22 6d ","mouseover","m
00038d70: 6f 75 73 65 6f 75 74 22 2c 22 6d 6f 75 73 65 6d ouseout","mousem
00038d80: 6f 76 65 22 2c 22 73 65 6c 65 63 74 73 74 61 72 ove","selectstar
00038d90: 74 22 2c 22 73 65 6c 65 63 74 65 6e 64 22 2c 22 t","selectend","
00038da0: 6b 65 79 64 6f 77 6e 22 2c 22 6b 65 79 70 72 65 keydown","keypre
00038db0: 73 73 22 2c 22 6b 65 79 75 70 22 2c 22 6f 72 69 ss","keyup","ori
00038dc0: 65 6e 74 61 74 69 6f 6e 63 68 61 6e 67 65 22 2c entationchange",
00038dd0: 22 74 6f 75 63 68 73 74 61 72 74 22 2c 22 74 6f "touchstart","to
00038de0: 75 63 68 6d 6f 76 65 22 2c 22 74 6f 75 63 68 65 uchmove","touche
00038df0: 6e 64 22 2c 22 74 6f 75 63 68 63 61 6e 63 65 6c nd","touchcancel
00038e00: 22 2c 22 70 6f 69 6e 74 65 72 64 6f 77 6e 22 2c ","pointerdown",
00038e10: 22 70 6f 69 6e 74 65 72 6d 6f 76 65 22 2c 22 70 "pointermove","p
00038e20: 6f 69 6e 74 65 72 75 70 22 2c 22 70 6f 69 6e 74 ointerup","point
00038e30: 65 72 6c 65 61 76 65 22 2c 22 70 6f 69 6e 74 65 erleave","pointe
00038e40: 72 63 61 6e 63 65 6c 22 2c 22 67 65 73 74 75 72 rcancel","gestur
00038e50: 65 73 74 61 72 74 22 2c 22 67 65 73 74 75 72 65 estart","gesture
00038e60: 63 68 61 6e 67 65 22 2c 22 67 65 73 74 75 72 65 change","gesture
00038e70: 65 6e 64 22 2c 22 66 6f 63 75 73 22 2c 22 62 6c end","focus","bl
00038e80: 75 72 22 2c 22 63 68 61 6e 67 65 22 2c 22 72 65 ur","change","re
00038e90: 73 65 74 22 2c 22 73 65 6c 65 63 74 22 2c 22 73 set","select","s
00038ea0: 75 62 6d 69 74 22 2c 22 66 6f 63 75 73 69 6e 22 ubmit","focusin"
00038eb0: 2c 22 66 6f 63 75 73 6f 75 74 22 2c 22 6c 6f 61 ,"focusout","loa
00038ec0: 64 22 2c 22 75 6e 6c 6f 61 64 22 2c 22 62 65 66 d","unload","bef
00038ed0: 6f 72 65 75 6e 6c 6f 61 64 22 2c 22 72 65 73 69 oreunload","resi
00038ee0: 7a 65 22 2c 22 6d 6f 76 65 22 2c 22 44 4f 4d 43 ze","move","DOMC
00038ef0: 6f 6e 74 65 6e 74 4c 6f 61 64 65 64 22 2c 22 72 ontentLoaded","r
00038f00: 65 61 64 79 73 74 61 74 65 63 68 61 6e 67 65 22 eadystatechange"
00038f10: 2c 22 65 72 72 6f 72 22 2c 22 61 62 6f 72 74 22 ,"error","abort"
00038f20: 2c 22 73 63 72 6f 6c 6c 22 5d 29 3b 66 75 6e 63 ,"scroll"]);func
00038f30: 74 69 6f 6e 20 49 28 65 2c 74 29 7b 72 65 74 75 tion I(e,t){retu
00038f40: 72 6e 20 74 26 26 60 24 7b 74 7d 3a 3a 24 7b 6b rn t&&`${t}::${k
00038f50: 2b 2b 7d 60 7c 7c 65 2e 75 69 64 45 76 65 6e 74 ++}`||e.uidEvent
00038f60: 7c 7c 6b 2b 2b 7d 66 75 6e 63 74 69 6f 6e 20 4d ||k++}function M
00038f70: 28 65 29 7b 63 6f 6e 73 74 20 74 3d 49 28 65 29 (e){const t=I(e)
00038f80: 3b 72 65 74 75 72 6e 20 65 2e 75 69 64 45 76 65 ;return e.uidEve
00038f90: 6e 74 3d 74 2c 52 5b 74 5d 3d 52 5b 74 5d 7c 7c nt=t,R[t]=R[t]||
00038fa0: 7b 7d 2c 52 5b 74 5d 7d 66 75 6e 63 74 69 6f 6e {},R[t]}function
00038fb0: 20 50 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 66 P(e,t){return f
00038fc0: 75 6e 63 74 69 6f 6e 20 6e 28 72 29 7b 72 65 74 unction n(r){ret
00038fd0: 75 72 6e 20 48 28 72 2c 7b 64 65 6c 65 67 61 74 urn H(r,{delegat
00038fe0: 65 54 61 72 67 65 74 3a 65 7d 29 2c 6e 2e 6f 6e eTarget:e}),n.on
00038ff0: 65 4f 66 66 26 26 47 2e 6f 66 66 28 65 2c 72 2e eOff&&G.off(e,r.
00039000: 74 79 70 65 2c 74 29 2c 74 2e 61 70 70 6c 79 28 type,t),t.apply(
00039010: 65 2c 5b 72 5d 29 7d 7d 66 75 6e 63 74 69 6f 6e e,[r])}}function
00039020: 20 44 28 65 2c 74 2c 6e 29 7b 72 65 74 75 72 6e D(e,t,n){return
00039030: 20 66 75 6e 63 74 69 6f 6e 20 72 28 6f 29 7b 63 function r(o){c
00039040: 6f 6e 73 74 20 69 3d 65 2e 71 75 65 72 79 53 65 onst i=e.querySe
00039050: 6c 65 63 74 6f 72 41 6c 6c 28 74 29 3b 66 6f 72 lectorAll(t);for
00039060: 28 6c 65 74 7b 74 61 72 67 65 74 3a 61 7d 3d 6f (let{target:a}=o
00039070: 3b 61 26 26 61 21 3d 3d 74 68 69 73 3b 61 3d 61 ;a&&a!==this;a=a
00039080: 2e 70 61 72 65 6e 74 4e 6f 64 65 29 66 6f 72 28 .parentNode)for(
00039090: 63 6f 6e 73 74 20 73 20 6f 66 20 69 29 69 66 28 const s of i)if(
000390a0: 73 3d 3d 3d 61 29 72 65 74 75 72 6e 20 48 28 6f s===a)return H(o
000390b0: 2c 7b 64 65 6c 65 67 61 74 65 54 61 72 67 65 74 ,{delegateTarget
000390c0: 3a 61 7d 29 2c 72 2e 6f 6e 65 4f 66 66 26 26 47 :a}),r.oneOff&&G
000390d0: 2e 6f 66 66 28 65 2c 6f 2e 74 79 70 65 2c 74 2c .off(e,o.type,t,
000390e0: 6e 29 2c 6e 2e 61 70 70 6c 79 28 61 2c 5b 6f 5d n),n.apply(a,[o]
000390f0: 29 7d 7d 66 75 6e 63 74 69 6f 6e 20 4c 28 65 2c )}}function L(e,
00039100: 74 2c 6e 3d 6e 75 6c 6c 29 7b 72 65 74 75 72 6e t,n=null){return
00039110: 20 4f 62 6a 65 63 74 2e 76 61 6c 75 65 73 28 65 Object.values(e
00039120: 29 2e 66 69 6e 64 28 28 65 3d 3e 65 2e 63 61 6c ).find((e=>e.cal
00039130: 6c 61 62 6c 65 3d 3d 3d 74 26 26 65 2e 64 65 6c lable===t&&e.del
00039140: 65 67 61 74 69 6f 6e 53 65 6c 65 63 74 6f 72 3d egationSelector=
00039150: 3d 3d 6e 29 29 7d 66 75 6e 63 74 69 6f 6e 20 46 ==n))}function F
00039160: 28 65 2c 74 2c 6e 29 7b 63 6f 6e 73 74 20 72 3d (e,t,n){const r=
00039170: 22 73 74 72 69 6e 67 22 3d 3d 74 79 70 65 6f 66 "string"==typeof
00039180: 20 74 2c 6f 3d 72 3f 6e 3a 74 7c 7c 6e 3b 6c 65 t,o=r?n:t||n;le
00039190: 74 20 69 3d 7a 28 65 29 3b 72 65 74 75 72 6e 20 t i=z(e);return
000391a0: 4e 2e 68 61 73 28 69 29 7c 7c 28 69 3d 65 29 2c N.has(i)||(i=e),
000391b0: 5b 72 2c 6f 2c 69 5d 7d 66 75 6e 63 74 69 6f 6e [r,o,i]}function
000391c0: 20 55 28 65 2c 74 2c 6e 2c 72 2c 6f 29 7b 69 66 U(e,t,n,r,o){if
000391d0: 28 22 73 74 72 69 6e 67 22 21 3d 74 79 70 65 6f ("string"!=typeo
000391e0: 66 20 74 7c 7c 21 65 29 72 65 74 75 72 6e 3b 6c f t||!e)return;l
000391f0: 65 74 5b 69 2c 61 2c 73 5d 3d 46 28 74 2c 6e 2c et[i,a,s]=F(t,n,
00039200: 72 29 3b 69 66 28 74 20 69 6e 20 4f 29 7b 63 6f r);if(t in O){co
00039210: 6e 73 74 20 65 3d 65 3d 3e 66 75 6e 63 74 69 6f nst e=e=>functio
00039220: 6e 28 74 29 7b 69 66 28 21 74 2e 72 65 6c 61 74 n(t){if(!t.relat
00039230: 65 64 54 61 72 67 65 74 7c 7c 74 2e 72 65 6c 61 edTarget||t.rela
00039240: 74 65 64 54 61 72 67 65 74 21 3d 3d 74 2e 64 65 tedTarget!==t.de
00039250: 6c 65 67 61 74 65 54 61 72 67 65 74 26 26 21 74 legateTarget&&!t
00039260: 2e 64 65 6c 65 67 61 74 65 54 61 72 67 65 74 2e .delegateTarget.
00039270: 63 6f 6e 74 61 69 6e 73 28 74 2e 72 65 6c 61 74 contains(t.relat
00039280: 65 64 54 61 72 67 65 74 29 29 72 65 74 75 72 6e edTarget))return
00039290: 20 65 2e 63 61 6c 6c 28 74 68 69 73 2c 74 29 7d e.call(this,t)}
000392a0: 3b 61 3d 65 28 61 29 7d 63 6f 6e 73 74 20 6c 3d ;a=e(a)}const l=
000392b0: 4d 28 65 29 2c 63 3d 6c 5b 73 5d 7c 7c 28 6c 5b M(e),c=l[s]||(l[
000392c0: 73 5d 3d 7b 7d 29 2c 75 3d 4c 28 63 2c 61 2c 69 s]={}),u=L(c,a,i
000392d0: 3f 6e 3a 6e 75 6c 6c 29 3b 69 66 28 75 29 72 65 ?n:null);if(u)re
000392e0: 74 75 72 6e 20 76 6f 69 64 28 75 2e 6f 6e 65 4f turn void(u.oneO
000392f0: 66 66 3d 75 2e 6f 6e 65 4f 66 66 26 26 6f 29 3b ff=u.oneOff&&o);
00039300: 63 6f 6e 73 74 20 64 3d 49 28 61 2c 74 2e 72 65 const d=I(a,t.re
00039310: 70 6c 61 63 65 28 78 2c 22 22 29 29 2c 70 3d 69 place(x,"")),p=i
00039320: 3f 44 28 65 2c 6e 2c 61 29 3a 50 28 65 2c 61 29 ?D(e,n,a):P(e,a)
00039330: 3b 70 2e 64 65 6c 65 67 61 74 69 6f 6e 53 65 6c ;p.delegationSel
00039340: 65 63 74 6f 72 3d 69 3f 6e 3a 6e 75 6c 6c 2c 70 ector=i?n:null,p
00039350: 2e 63 61 6c 6c 61 62 6c 65 3d 61 2c 70 2e 6f 6e .callable=a,p.on
00039360: 65 4f 66 66 3d 6f 2c 70 2e 75 69 64 45 76 65 6e eOff=o,p.uidEven
00039370: 74 3d 64 2c 63 5b 64 5d 3d 70 2c 65 2e 61 64 64 t=d,c[d]=p,e.add
00039380: 45 76 65 6e 74 4c 69 73 74 65 6e 65 72 28 73 2c EventListener(s,
00039390: 70 2c 69 29 7d 66 75 6e 63 74 69 6f 6e 20 42 28 p,i)}function B(
000393a0: 65 2c 74 2c 6e 2c 72 2c 6f 29 7b 63 6f 6e 73 74 e,t,n,r,o){const
000393b0: 20 69 3d 4c 28 74 5b 6e 5d 2c 72 2c 6f 29 3b 69 i=L(t[n],r,o);i
000393c0: 26 26 28 65 2e 72 65 6d 6f 76 65 45 76 65 6e 74 &&(e.removeEvent
000393d0: 4c 69 73 74 65 6e 65 72 28 6e 2c 69 2c 42 6f 6f Listener(n,i,Boo
000393e0: 6c 65 61 6e 28 6f 29 29 2c 64 65 6c 65 74 65 20 lean(o)),delete
000393f0: 74 5b 6e 5d 5b 69 2e 75 69 64 45 76 65 6e 74 5d t[n][i.uidEvent]
00039400: 29 7d 66 75 6e 63 74 69 6f 6e 20 6a 28 65 2c 74 )}function j(e,t
00039410: 2c 6e 2c 72 29 7b 63 6f 6e 73 74 20 6f 3d 74 5b ,n,r){const o=t[
00039420: 6e 5d 7c 7c 7b 7d 3b 66 6f 72 28 63 6f 6e 73 74 n]||{};for(const
00039430: 5b 69 2c 61 5d 6f 66 20 4f 62 6a 65 63 74 2e 65 [i,a]of Object.e
00039440: 6e 74 72 69 65 73 28 6f 29 29 69 2e 69 6e 63 6c ntries(o))i.incl
00039450: 75 64 65 73 28 72 29 26 26 42 28 65 2c 74 2c 6e udes(r)&&B(e,t,n
00039460: 2c 61 2e 63 61 6c 6c 61 62 6c 65 2c 61 2e 64 65 ,a.callable,a.de
00039470: 6c 65 67 61 74 69 6f 6e 53 65 6c 65 63 74 6f 72 legationSelector
00039480: 29 7d 66 75 6e 63 74 69 6f 6e 20 7a 28 65 29 7b )}function z(e){
00039490: 72 65 74 75 72 6e 20 65 3d 65 2e 72 65 70 6c 61 return e=e.repla
000394a0: 63 65 28 41 2c 22 22 29 2c 4f 5b 65 5d 7c 7c 65 ce(A,""),O[e]||e
000394b0: 7d 63 6f 6e 73 74 20 47 3d 7b 6f 6e 28 65 2c 74 }const G={on(e,t
000394c0: 2c 6e 2c 72 29 7b 55 28 65 2c 74 2c 6e 2c 72 2c ,n,r){U(e,t,n,r,
000394d0: 21 31 29 7d 2c 6f 6e 65 28 65 2c 74 2c 6e 2c 72 !1)},one(e,t,n,r
000394e0: 29 7b 55 28 65 2c 74 2c 6e 2c 72 2c 21 30 29 7d ){U(e,t,n,r,!0)}
000394f0: 2c 6f 66 66 28 65 2c 74 2c 6e 2c 72 29 7b 69 66 ,off(e,t,n,r){if
00039500: 28 22 73 74 72 69 6e 67 22 21 3d 74 79 70 65 6f ("string"!=typeo
00039510: 66 20 74 7c 7c 21 65 29 72 65 74 75 72 6e 3b 63 f t||!e)return;c
00039520: 6f 6e 73 74 5b 6f 2c 69 2c 61 5d 3d 46 28 74 2c onst[o,i,a]=F(t,
00039530: 6e 2c 72 29 2c 73 3d 61 21 3d 3d 74 2c 6c 3d 4d n,r),s=a!==t,l=M
00039540: 28 65 29 2c 63 3d 6c 5b 61 5d 7c 7c 7b 7d 2c 75 (e),c=l[a]||{},u
00039550: 3d 74 2e 73 74 61 72 74 73 57 69 74 68 28 22 2e =t.startsWith(".
00039560: 22 29 3b 69 66 28 76 6f 69 64 20 30 3d 3d 3d 69 ");if(void 0===i
00039570: 29 7b 69 66 28 75 29 66 6f 72 28 63 6f 6e 73 74 ){if(u)for(const
00039580: 20 6e 20 6f 66 20 4f 62 6a 65 63 74 2e 6b 65 79 n of Object.key
00039590: 73 28 6c 29 29 6a 28 65 2c 6c 2c 6e 2c 74 2e 73 s(l))j(e,l,n,t.s
000395a0: 6c 69 63 65 28 31 29 29 3b 66 6f 72 28 63 6f 6e lice(1));for(con
000395b0: 73 74 5b 6e 2c 72 5d 6f 66 20 4f 62 6a 65 63 74 st[n,r]of Object
000395c0: 2e 65 6e 74 72 69 65 73 28 63 29 29 7b 63 6f 6e .entries(c)){con
000395d0: 73 74 20 6f 3d 6e 2e 72 65 70 6c 61 63 65 28 43 st o=n.replace(C
000395e0: 2c 22 22 29 3b 73 26 26 21 74 2e 69 6e 63 6c 75 ,"");s&&!t.inclu
000395f0: 64 65 73 28 6f 29 7c 7c 42 28 65 2c 6c 2c 61 2c des(o)||B(e,l,a,
00039600: 72 2e 63 61 6c 6c 61 62 6c 65 2c 72 2e 64 65 6c r.callable,r.del
00039610: 65 67 61 74 69 6f 6e 53 65 6c 65 63 74 6f 72 29 egationSelector)
00039620: 7d 7d 65 6c 73 65 7b 69 66 28 21 4f 62 6a 65 63 }}else{if(!Objec
00039630: 74 2e 6b 65 79 73 28 63 29 2e 6c 65 6e 67 74 68 t.keys(c).length
00039640: 29 72 65 74 75 72 6e 3b 42 28 65 2c 6c 2c 61 2c )return;B(e,l,a,
00039650: 69 2c 6f 3f 6e 3a 6e 75 6c 6c 29 7d 7d 2c 74 72 i,o?n:null)}},tr
00039660: 69 67 67 65 72 28 65 2c 74 2c 6e 29 7b 69 66 28 igger(e,t,n){if(
00039670: 22 73 74 72 69 6e 67 22 21 3d 74 79 70 65 6f 66 "string"!=typeof
00039680: 20 74 7c 7c 21 65 29 72 65 74 75 72 6e 20 6e 75 t||!e)return nu
00039690: 6c 6c 3b 63 6f 6e 73 74 20 72 3d 62 28 29 3b 6c ll;const r=b();l
000396a0: 65 74 20 6f 3d 6e 75 6c 6c 2c 69 3d 21 30 2c 61 et o=null,i=!0,a
000396b0: 3d 21 30 2c 73 3d 21 31 3b 74 21 3d 3d 7a 28 74 =!0,s=!1;t!==z(t
000396c0: 29 26 26 72 26 26 28 6f 3d 72 2e 45 76 65 6e 74 )&&r&&(o=r.Event
000396d0: 28 74 2c 6e 29 2c 72 28 65 29 2e 74 72 69 67 67 (t,n),r(e).trigg
000396e0: 65 72 28 6f 29 2c 69 3d 21 6f 2e 69 73 50 72 6f er(o),i=!o.isPro
000396f0: 70 61 67 61 74 69 6f 6e 53 74 6f 70 70 65 64 28 pagationStopped(
00039700: 29 2c 61 3d 21 6f 2e 69 73 49 6d 6d 65 64 69 61 ),a=!o.isImmedia
00039710: 74 65 50 72 6f 70 61 67 61 74 69 6f 6e 53 74 6f tePropagationSto
00039720: 70 70 65 64 28 29 2c 73 3d 6f 2e 69 73 44 65 66 pped(),s=o.isDef
00039730: 61 75 6c 74 50 72 65 76 65 6e 74 65 64 28 29 29 aultPrevented())
00039740: 3b 63 6f 6e 73 74 20 6c 3d 48 28 6e 65 77 20 45 ;const l=H(new E
00039750: 76 65 6e 74 28 74 2c 7b 62 75 62 62 6c 65 73 3a vent(t,{bubbles:
00039760: 69 2c 63 61 6e 63 65 6c 61 62 6c 65 3a 21 30 7d i,cancelable:!0}
00039770: 29 2c 6e 29 3b 72 65 74 75 72 6e 20 73 26 26 6c ),n);return s&&l
00039780: 2e 70 72 65 76 65 6e 74 44 65 66 61 75 6c 74 28 .preventDefault(
00039790: 29 2c 61 26 26 65 2e 64 69 73 70 61 74 63 68 45 ),a&&e.dispatchE
000397a0: 76 65 6e 74 28 6c 29 2c 6c 2e 64 65 66 61 75 6c vent(l),l.defaul
000397b0: 74 50 72 65 76 65 6e 74 65 64 26 26 6f 26 26 6f tPrevented&&o&&o
000397c0: 2e 70 72 65 76 65 6e 74 44 65 66 61 75 6c 74 28 .preventDefault(
000397d0: 29 2c 6c 7d 7d 3b 66 75 6e 63 74 69 6f 6e 20 48 ),l}};function H
000397e0: 28 65 2c 74 3d 7b 7d 29 7b 66 6f 72 28 63 6f 6e (e,t={}){for(con
000397f0: 73 74 5b 6e 2c 72 5d 6f 66 20 4f 62 6a 65 63 74 st[n,r]of Object
00039800: 2e 65 6e 74 72 69 65 73 28 74 29 29 74 72 79 7b .entries(t))try{
00039810: 65 5b 6e 5d 3d 72 7d 63 61 74 63 68 28 74 29 7b e[n]=r}catch(t){
00039820: 4f 62 6a 65 63 74 2e 64 65 66 69 6e 65 50 72 6f Object.definePro
00039830: 70 65 72 74 79 28 65 2c 6e 2c 7b 63 6f 6e 66 69 perty(e,n,{confi
00039840: 67 75 72 61 62 6c 65 3a 21 30 2c 67 65 74 28 29 gurable:!0,get()
00039850: 7b 72 65 74 75 72 6e 20 72 7d 7d 29 7d 72 65 74 {return r}})}ret
00039860: 75 72 6e 20 65 7d 66 75 6e 63 74 69 6f 6e 20 56 urn e}function V
00039870: 28 65 29 7b 69 66 28 22 74 72 75 65 22 3d 3d 3d (e){if("true"===
00039880: 65 29 72 65 74 75 72 6e 21 30 3b 69 66 28 22 66 e)return!0;if("f
00039890: 61 6c 73 65 22 3d 3d 3d 65 29 72 65 74 75 72 6e alse"===e)return
000398a0: 21 31 3b 69 66 28 65 3d 3d 3d 4e 75 6d 62 65 72 !1;if(e===Number
000398b0: 28 65 29 2e 74 6f 53 74 72 69 6e 67 28 29 29 72 (e).toString())r
000398c0: 65 74 75 72 6e 20 4e 75 6d 62 65 72 28 65 29 3b eturn Number(e);
000398d0: 69 66 28 22 22 3d 3d 3d 65 7c 7c 22 6e 75 6c 6c if(""===e||"null
000398e0: 22 3d 3d 3d 65 29 72 65 74 75 72 6e 20 6e 75 6c "===e)return nul
000398f0: 6c 3b 69 66 28 22 73 74 72 69 6e 67 22 21 3d 74 l;if("string"!=t
00039900: 79 70 65 6f 66 20 65 29 72 65 74 75 72 6e 20 65 ypeof e)return e
00039910: 3b 74 72 79 7b 72 65 74 75 72 6e 20 4a 53 4f 4e ;try{return JSON
00039920: 2e 70 61 72 73 65 28 64 65 63 6f 64 65 55 52 49 .parse(decodeURI
00039930: 43 6f 6d 70 6f 6e 65 6e 74 28 65 29 29 7d 63 61 Component(e))}ca
00039940: 74 63 68 28 74 29 7b 72 65 74 75 72 6e 20 65 7d tch(t){return e}
00039950: 7d 66 75 6e 63 74 69 6f 6e 20 71 28 65 29 7b 72 }function q(e){r
00039960: 65 74 75 72 6e 20 65 2e 72 65 70 6c 61 63 65 28 eturn e.replace(
00039970: 2f 5b 41 2d 5a 5d 2f 67 2c 28 65 3d 3e 60 2d 24 /[A-Z]/g,(e=>`-$
00039980: 7b 65 2e 74 6f 4c 6f 77 65 72 43 61 73 65 28 29 {e.toLowerCase()
00039990: 7d 60 29 29 7d 63 6f 6e 73 74 20 57 3d 7b 73 65 }`))}const W={se
000399a0: 74 44 61 74 61 41 74 74 72 69 62 75 74 65 28 65 tDataAttribute(e
000399b0: 2c 74 2c 6e 29 7b 65 2e 73 65 74 41 74 74 72 69 ,t,n){e.setAttri
000399c0: 62 75 74 65 28 60 64 61 74 61 2d 62 73 2d 24 7b bute(`data-bs-${
000399d0: 71 28 74 29 7d 60 2c 6e 29 7d 2c 72 65 6d 6f 76 q(t)}`,n)},remov
000399e0: 65 44 61 74 61 41 74 74 72 69 62 75 74 65 28 65 eDataAttribute(e
000399f0: 2c 74 29 7b 65 2e 72 65 6d 6f 76 65 41 74 74 72 ,t){e.removeAttr
00039a00: 69 62 75 74 65 28 60 64 61 74 61 2d 62 73 2d 24 ibute(`data-bs-$
00039a10: 7b 71 28 74 29 7d 60 29 7d 2c 67 65 74 44 61 74 {q(t)}`)},getDat
00039a20: 61 41 74 74 72 69 62 75 74 65 73 28 65 29 7b 69 aAttributes(e){i
00039a30: 66 28 21 65 29 72 65 74 75 72 6e 7b 7d 3b 63 6f f(!e)return{};co
00039a40: 6e 73 74 20 74 3d 7b 7d 2c 6e 3d 4f 62 6a 65 63 nst t={},n=Objec
00039a50: 74 2e 6b 65 79 73 28 65 2e 64 61 74 61 73 65 74 t.keys(e.dataset
00039a60: 29 2e 66 69 6c 74 65 72 28 28 65 3d 3e 65 2e 73 ).filter((e=>e.s
00039a70: 74 61 72 74 73 57 69 74 68 28 22 62 73 22 29 26 tartsWith("bs")&
00039a80: 26 21 65 2e 73 74 61 72 74 73 57 69 74 68 28 22 &!e.startsWith("
00039a90: 62 73 43 6f 6e 66 69 67 22 29 29 29 3b 66 6f 72 bsConfig")));for
00039aa0: 28 63 6f 6e 73 74 20 72 20 6f 66 20 6e 29 7b 6c (const r of n){l
00039ab0: 65 74 20 6e 3d 72 2e 72 65 70 6c 61 63 65 28 2f et n=r.replace(/
00039ac0: 5e 62 73 2f 2c 22 22 29 3b 6e 3d 6e 2e 63 68 61 ^bs/,"");n=n.cha
00039ad0: 72 41 74 28 30 29 2e 74 6f 4c 6f 77 65 72 43 61 rAt(0).toLowerCa
00039ae0: 73 65 28 29 2b 6e 2e 73 6c 69 63 65 28 31 2c 6e se()+n.slice(1,n
00039af0: 2e 6c 65 6e 67 74 68 29 2c 74 5b 6e 5d 3d 56 28 .length),t[n]=V(
00039b00: 65 2e 64 61 74 61 73 65 74 5b 72 5d 29 7d 72 65 e.dataset[r])}re
00039b10: 74 75 72 6e 20 74 7d 2c 67 65 74 44 61 74 61 41 turn t},getDataA
00039b20: 74 74 72 69 62 75 74 65 28 65 2c 74 29 7b 72 65 ttribute(e,t){re
00039b30: 74 75 72 6e 20 56 28 65 2e 67 65 74 41 74 74 72 turn V(e.getAttr
00039b40: 69 62 75 74 65 28 60 64 61 74 61 2d 62 73 2d 24 ibute(`data-bs-$
00039b50: 7b 71 28 74 29 7d 60 29 29 7d 7d 3b 63 6c 61 73 {q(t)}`))}};clas
00039b60: 73 20 59 7b 73 74 61 74 69 63 20 67 65 74 20 44 s Y{static get D
00039b70: 65 66 61 75 6c 74 28 29 7b 72 65 74 75 72 6e 7b efault(){return{
00039b80: 7d 7d 73 74 61 74 69 63 20 67 65 74 20 44 65 66 }}static get Def
00039b90: 61 75 6c 74 54 79 70 65 28 29 7b 72 65 74 75 72 aultType(){retur
00039ba0: 6e 7b 7d 7d 73 74 61 74 69 63 20 67 65 74 20 4e n{}}static get N
00039bb0: 41 4d 45 28 29 7b 74 68 72 6f 77 20 6e 65 77 20 AME(){throw new
00039bc0: 45 72 72 6f 72 28 27 59 6f 75 20 68 61 76 65 20 Error('You have
00039bd0: 74 6f 20 69 6d 70 6c 65 6d 65 6e 74 20 74 68 65 to implement the
00039be0: 20 73 74 61 74 69 63 20 6d 65 74 68 6f 64 20 22 static method "
00039bf0: 4e 41 4d 45 22 2c 20 66 6f 72 20 65 61 63 68 20 NAME", for each
00039c00: 63 6f 6d 70 6f 6e 65 6e 74 21 27 29 7d 5f 67 65 component!')}_ge
00039c10: 74 43 6f 6e 66 69 67 28 65 29 7b 72 65 74 75 72 tConfig(e){retur
00039c20: 6e 20 65 3d 74 68 69 73 2e 5f 6d 65 72 67 65 43 n e=this._mergeC
00039c30: 6f 6e 66 69 67 4f 62 6a 28 65 29 2c 65 3d 74 68 onfigObj(e),e=th
00039c40: 69 73 2e 5f 63 6f 6e 66 69 67 41 66 74 65 72 4d is._configAfterM
00039c50: 65 72 67 65 28 65 29 2c 74 68 69 73 2e 5f 74 79 erge(e),this._ty
00039c60: 70 65 43 68 65 63 6b 43 6f 6e 66 69 67 28 65 29 peCheckConfig(e)
00039c70: 2c 65 7d 5f 63 6f 6e 66 69 67 41 66 74 65 72 4d ,e}_configAfterM
00039c80: 65 72 67 65 28 65 29 7b 72 65 74 75 72 6e 20 65 erge(e){return e
00039c90: 7d 5f 6d 65 72 67 65 43 6f 6e 66 69 67 4f 62 6a }_mergeConfigObj
00039ca0: 28 65 2c 74 29 7b 63 6f 6e 73 74 20 6e 3d 75 28 (e,t){const n=u(
00039cb0: 74 29 3f 57 2e 67 65 74 44 61 74 61 41 74 74 72 t)?W.getDataAttr
00039cc0: 69 62 75 74 65 28 74 2c 22 63 6f 6e 66 69 67 22 ibute(t,"config"
00039cd0: 29 3a 7b 7d 3b 72 65 74 75 72 6e 7b 2e 2e 2e 74 ):{};return{...t
00039ce0: 68 69 73 2e 63 6f 6e 73 74 72 75 63 74 6f 72 2e his.constructor.
00039cf0: 44 65 66 61 75 6c 74 2c 2e 2e 2e 22 6f 62 6a 65 Default,..."obje
00039d00: 63 74 22 3d 3d 74 79 70 65 6f 66 20 6e 3f 6e 3a ct"==typeof n?n:
00039d10: 7b 7d 2c 2e 2e 2e 75 28 74 29 3f 57 2e 67 65 74 {},...u(t)?W.get
00039d20: 44 61 74 61 41 74 74 72 69 62 75 74 65 73 28 74 DataAttributes(t
00039d30: 29 3a 7b 7d 2c 2e 2e 2e 22 6f 62 6a 65 63 74 22 ):{},..."object"
00039d40: 3d 3d 74 79 70 65 6f 66 20 65 3f 65 3a 7b 7d 7d ==typeof e?e:{}}
00039d50: 7d 5f 74 79 70 65 43 68 65 63 6b 43 6f 6e 66 69 }_typeCheckConfi
00039d60: 67 28 65 2c 74 3d 74 68 69 73 2e 63 6f 6e 73 74 g(e,t=this.const
00039d70: 72 75 63 74 6f 72 2e 44 65 66 61 75 6c 74 54 79 ructor.DefaultTy
00039d80: 70 65 29 7b 66 6f 72 28 63 6f 6e 73 74 5b 6e 2c pe){for(const[n,
00039d90: 72 5d 6f 66 20 4f 62 6a 65 63 74 2e 65 6e 74 72 r]of Object.entr
00039da0: 69 65 73 28 74 29 29 7b 63 6f 6e 73 74 20 74 3d ies(t)){const t=
00039db0: 65 5b 6e 5d 2c 6f 3d 75 28 74 29 3f 22 65 6c 65 e[n],o=u(t)?"ele
00039dc0: 6d 65 6e 74 22 3a 61 28 74 29 3b 69 66 28 21 6e ment":a(t);if(!n
00039dd0: 65 77 20 52 65 67 45 78 70 28 72 29 2e 74 65 73 ew RegExp(r).tes
00039de0: 74 28 6f 29 29 74 68 72 6f 77 20 6e 65 77 20 54 t(o))throw new T
00039df0: 79 70 65 45 72 72 6f 72 28 60 24 7b 74 68 69 73 ypeError(`${this
00039e00: 2e 63 6f 6e 73 74 72 75 63 74 6f 72 2e 4e 41 4d .constructor.NAM
00039e10: 45 2e 74 6f 55 70 70 65 72 43 61 73 65 28 29 7d E.toUpperCase()}
00039e20: 3a 20 4f 70 74 69 6f 6e 20 22 24 7b 6e 7d 22 20 : Option "${n}"
00039e30: 70 72 6f 76 69 64 65 64 20 74 79 70 65 20 22 24 provided type "$
00039e40: 7b 6f 7d 22 20 62 75 74 20 65 78 70 65 63 74 65 {o}" but expecte
00039e50: 64 20 74 79 70 65 20 22 24 7b 72 7d 22 2e 60 29 d type "${r}".`)
00039e60: 7d 7d 7d 63 6f 6e 73 74 20 4b 3d 22 35 2e 33 2e }}}const K="5.3.
00039e70: 31 22 3b 63 6c 61 73 73 20 5a 20 65 78 74 65 6e 1";class Z exten
00039e80: 64 73 20 59 7b 63 6f 6e 73 74 72 75 63 74 6f 72 ds Y{constructor
00039e90: 28 65 2c 6e 29 7b 73 75 70 65 72 28 29 2c 28 65 (e,n){super(),(e
00039ea0: 3d 64 28 65 29 29 26 26 28 74 68 69 73 2e 5f 65 =d(e))&&(this._e
00039eb0: 6c 65 6d 65 6e 74 3d 65 2c 74 68 69 73 2e 5f 63 lement=e,this._c
00039ec0: 6f 6e 66 69 67 3d 74 68 69 73 2e 5f 67 65 74 43 onfig=this._getC
00039ed0: 6f 6e 66 69 67 28 6e 29 2c 74 2e 73 65 74 28 74 onfig(n),t.set(t
00039ee0: 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c 74 68 69 his._element,thi
00039ef0: 73 2e 63 6f 6e 73 74 72 75 63 74 6f 72 2e 44 41 s.constructor.DA
00039f00: 54 41 5f 4b 45 59 2c 74 68 69 73 29 29 7d 64 69 TA_KEY,this))}di
00039f10: 73 70 6f 73 65 28 29 7b 74 2e 72 65 6d 6f 76 65 spose(){t.remove
00039f20: 28 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c 74 (this._element,t
00039f30: 68 69 73 2e 63 6f 6e 73 74 72 75 63 74 6f 72 2e his.constructor.
00039f40: 44 41 54 41 5f 4b 45 59 29 2c 47 2e 6f 66 66 28 DATA_KEY),G.off(
00039f50: 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c 74 68 this._element,th
00039f60: 69 73 2e 63 6f 6e 73 74 72 75 63 74 6f 72 2e 45 is.constructor.E
00039f70: 56 45 4e 54 5f 4b 45 59 29 3b 66 6f 72 28 63 6f VENT_KEY);for(co
00039f80: 6e 73 74 20 65 20 6f 66 20 4f 62 6a 65 63 74 2e nst e of Object.
00039f90: 67 65 74 4f 77 6e 50 72 6f 70 65 72 74 79 4e 61 getOwnPropertyNa
00039fa0: 6d 65 73 28 74 68 69 73 29 29 74 68 69 73 5b 65 mes(this))this[e
00039fb0: 5d 3d 6e 75 6c 6c 7d 5f 71 75 65 75 65 43 61 6c ]=null}_queueCal
00039fc0: 6c 62 61 63 6b 28 65 2c 74 2c 6e 3d 21 30 29 7b lback(e,t,n=!0){
00039fd0: 77 28 65 2c 74 2c 6e 29 7d 5f 67 65 74 43 6f 6e w(e,t,n)}_getCon
00039fe0: 66 69 67 28 65 29 7b 72 65 74 75 72 6e 20 65 3d fig(e){return e=
00039ff0: 74 68 69 73 2e 5f 6d 65 72 67 65 43 6f 6e 66 69 this._mergeConfi
0003a000: 67 4f 62 6a 28 65 2c 74 68 69 73 2e 5f 65 6c 65 gObj(e,this._ele
0003a010: 6d 65 6e 74 29 2c 65 3d 74 68 69 73 2e 5f 63 6f ment),e=this._co
0003a020: 6e 66 69 67 41 66 74 65 72 4d 65 72 67 65 28 65 nfigAfterMerge(e
0003a030: 29 2c 74 68 69 73 2e 5f 74 79 70 65 43 68 65 63 ),this._typeChec
0003a040: 6b 43 6f 6e 66 69 67 28 65 29 2c 65 7d 73 74 61 kConfig(e),e}sta
0003a050: 74 69 63 20 67 65 74 49 6e 73 74 61 6e 63 65 28 tic getInstance(
0003a060: 65 29 7b 72 65 74 75 72 6e 20 74 2e 67 65 74 28 e){return t.get(
0003a070: 64 28 65 29 2c 74 68 69 73 2e 44 41 54 41 5f 4b d(e),this.DATA_K
0003a080: 45 59 29 7d 73 74 61 74 69 63 20 67 65 74 4f 72 EY)}static getOr
0003a090: 43 72 65 61 74 65 49 6e 73 74 61 6e 63 65 28 65 CreateInstance(e
0003a0a0: 2c 74 3d 7b 7d 29 7b 72 65 74 75 72 6e 20 74 68 ,t={}){return th
0003a0b0: 69 73 2e 67 65 74 49 6e 73 74 61 6e 63 65 28 65 is.getInstance(e
0003a0c0: 29 7c 7c 6e 65 77 20 74 68 69 73 28 65 2c 22 6f )||new this(e,"o
0003a0d0: 62 6a 65 63 74 22 3d 3d 74 79 70 65 6f 66 20 74 bject"==typeof t
0003a0e0: 3f 74 3a 6e 75 6c 6c 29 7d 73 74 61 74 69 63 20 ?t:null)}static
0003a0f0: 67 65 74 20 56 45 52 53 49 4f 4e 28 29 7b 72 65 get VERSION(){re
0003a100: 74 75 72 6e 20 4b 7d 73 74 61 74 69 63 20 67 65 turn K}static ge
0003a110: 74 20 44 41 54 41 5f 4b 45 59 28 29 7b 72 65 74 t DATA_KEY(){ret
0003a120: 75 72 6e 60 62 73 2e 24 7b 74 68 69 73 2e 4e 41 urn`bs.${this.NA
0003a130: 4d 45 7d 60 7d 73 74 61 74 69 63 20 67 65 74 20 ME}`}static get
0003a140: 45 56 45 4e 54 5f 4b 45 59 28 29 7b 72 65 74 75 EVENT_KEY(){retu
0003a150: 72 6e 60 2e 24 7b 74 68 69 73 2e 44 41 54 41 5f rn`.${this.DATA_
0003a160: 4b 45 59 7d 60 7d 73 74 61 74 69 63 20 65 76 65 KEY}`}static eve
0003a170: 6e 74 4e 61 6d 65 28 65 29 7b 72 65 74 75 72 6e ntName(e){return
0003a180: 60 24 7b 65 7d 24 7b 74 68 69 73 2e 45 56 45 4e `${e}${this.EVEN
0003a190: 54 5f 4b 45 59 7d 60 7d 7d 63 6f 6e 73 74 20 58 T_KEY}`}}const X
0003a1a0: 3d 65 3d 3e 7b 6c 65 74 20 74 3d 65 2e 67 65 74 =e=>{let t=e.get
0003a1b0: 41 74 74 72 69 62 75 74 65 28 22 64 61 74 61 2d Attribute("data-
0003a1c0: 62 73 2d 74 61 72 67 65 74 22 29 3b 69 66 28 21 bs-target");if(!
0003a1d0: 74 7c 7c 22 23 22 3d 3d 3d 74 29 7b 6c 65 74 20 t||"#"===t){let
0003a1e0: 6e 3d 65 2e 67 65 74 41 74 74 72 69 62 75 74 65 n=e.getAttribute
0003a1f0: 28 22 68 72 65 66 22 29 3b 69 66 28 21 6e 7c 7c ("href");if(!n||
0003a200: 21 6e 2e 69 6e 63 6c 75 64 65 73 28 22 23 22 29 !n.includes("#")
0003a210: 26 26 21 6e 2e 73 74 61 72 74 73 57 69 74 68 28 &&!n.startsWith(
0003a220: 22 2e 22 29 29 72 65 74 75 72 6e 20 6e 75 6c 6c "."))return null
0003a230: 3b 6e 2e 69 6e 63 6c 75 64 65 73 28 22 23 22 29 ;n.includes("#")
0003a240: 26 26 21 6e 2e 73 74 61 72 74 73 57 69 74 68 28 &&!n.startsWith(
0003a250: 22 23 22 29 26 26 28 6e 3d 60 23 24 7b 6e 2e 73 "#")&&(n=`#${n.s
0003a260: 70 6c 69 74 28 22 23 22 29 5b 31 5d 7d 60 29 2c plit("#")[1]}`),
0003a270: 74 3d 6e 26 26 22 23 22 21 3d 3d 6e 3f 6e 2e 74 t=n&&"#"!==n?n.t
0003a280: 72 69 6d 28 29 3a 6e 75 6c 6c 7d 72 65 74 75 72 rim():null}retur
0003a290: 6e 20 69 28 74 29 7d 2c 51 3d 7b 66 69 6e 64 28 n i(t)},Q={find(
0003a2a0: 65 2c 74 3d 64 6f 63 75 6d 65 6e 74 2e 64 6f 63 e,t=document.doc
0003a2b0: 75 6d 65 6e 74 45 6c 65 6d 65 6e 74 29 7b 72 65 umentElement){re
0003a2c0: 74 75 72 6e 5b 5d 2e 63 6f 6e 63 61 74 28 2e 2e turn[].concat(..
0003a2d0: 2e 45 6c 65 6d 65 6e 74 2e 70 72 6f 74 6f 74 79 .Element.prototy
0003a2e0: 70 65 2e 71 75 65 72 79 53 65 6c 65 63 74 6f 72 pe.querySelector
0003a2f0: 41 6c 6c 2e 63 61 6c 6c 28 74 2c 65 29 29 7d 2c All.call(t,e))},
0003a300: 66 69 6e 64 4f 6e 65 28 65 2c 74 3d 64 6f 63 75 findOne(e,t=docu
0003a310: 6d 65 6e 74 2e 64 6f 63 75 6d 65 6e 74 45 6c 65 ment.documentEle
0003a320: 6d 65 6e 74 29 7b 72 65 74 75 72 6e 20 45 6c 65 ment){return Ele
0003a330: 6d 65 6e 74 2e 70 72 6f 74 6f 74 79 70 65 2e 71 ment.prototype.q
0003a340: 75 65 72 79 53 65 6c 65 63 74 6f 72 2e 63 61 6c uerySelector.cal
0003a350: 6c 28 74 2c 65 29 7d 2c 63 68 69 6c 64 72 65 6e l(t,e)},children
0003a360: 28 65 2c 74 29 7b 72 65 74 75 72 6e 5b 5d 2e 63 (e,t){return[].c
0003a370: 6f 6e 63 61 74 28 2e 2e 2e 65 2e 63 68 69 6c 64 oncat(...e.child
0003a380: 72 65 6e 29 2e 66 69 6c 74 65 72 28 28 65 3d 3e ren).filter((e=>
0003a390: 65 2e 6d 61 74 63 68 65 73 28 74 29 29 29 7d 2c e.matches(t)))},
0003a3a0: 70 61 72 65 6e 74 73 28 65 2c 74 29 7b 63 6f 6e parents(e,t){con
0003a3b0: 73 74 20 6e 3d 5b 5d 3b 6c 65 74 20 72 3d 65 2e st n=[];let r=e.
0003a3c0: 70 61 72 65 6e 74 4e 6f 64 65 2e 63 6c 6f 73 65 parentNode.close
0003a3d0: 73 74 28 74 29 3b 66 6f 72 28 3b 72 3b 29 6e 2e st(t);for(;r;)n.
0003a3e0: 70 75 73 68 28 72 29 2c 72 3d 72 2e 70 61 72 65 push(r),r=r.pare
0003a3f0: 6e 74 4e 6f 64 65 2e 63 6c 6f 73 65 73 74 28 74 ntNode.closest(t
0003a400: 29 3b 72 65 74 75 72 6e 20 6e 7d 2c 70 72 65 76 );return n},prev
0003a410: 28 65 2c 74 29 7b 6c 65 74 20 6e 3d 65 2e 70 72 (e,t){let n=e.pr
0003a420: 65 76 69 6f 75 73 45 6c 65 6d 65 6e 74 53 69 62 eviousElementSib
0003a430: 6c 69 6e 67 3b 66 6f 72 28 3b 6e 3b 29 7b 69 66 ling;for(;n;){if
0003a440: 28 6e 2e 6d 61 74 63 68 65 73 28 74 29 29 72 65 (n.matches(t))re
0003a450: 74 75 72 6e 5b 6e 5d 3b 6e 3d 6e 2e 70 72 65 76 turn[n];n=n.prev
0003a460: 69 6f 75 73 45 6c 65 6d 65 6e 74 53 69 62 6c 69 iousElementSibli
0003a470: 6e 67 7d 72 65 74 75 72 6e 5b 5d 7d 2c 6e 65 78 ng}return[]},nex
0003a480: 74 28 65 2c 74 29 7b 6c 65 74 20 6e 3d 65 2e 6e t(e,t){let n=e.n
0003a490: 65 78 74 45 6c 65 6d 65 6e 74 53 69 62 6c 69 6e extElementSiblin
0003a4a0: 67 3b 66 6f 72 28 3b 6e 3b 29 7b 69 66 28 6e 2e g;for(;n;){if(n.
0003a4b0: 6d 61 74 63 68 65 73 28 74 29 29 72 65 74 75 72 matches(t))retur
0003a4c0: 6e 5b 6e 5d 3b 6e 3d 6e 2e 6e 65 78 74 45 6c 65 n[n];n=n.nextEle
0003a4d0: 6d 65 6e 74 53 69 62 6c 69 6e 67 7d 72 65 74 75 mentSibling}retu
0003a4e0: 72 6e 5b 5d 7d 2c 66 6f 63 75 73 61 62 6c 65 43 rn[]},focusableC
0003a4f0: 68 69 6c 64 72 65 6e 28 65 29 7b 63 6f 6e 73 74 hildren(e){const
0003a500: 20 74 3d 5b 22 61 22 2c 22 62 75 74 74 6f 6e 22 t=["a","button"
0003a510: 2c 22 69 6e 70 75 74 22 2c 22 74 65 78 74 61 72 ,"input","textar
0003a520: 65 61 22 2c 22 73 65 6c 65 63 74 22 2c 22 64 65 ea","select","de
0003a530: 74 61 69 6c 73 22 2c 22 5b 74 61 62 69 6e 64 65 tails","[tabinde
0003a540: 78 5d 22 2c 27 5b 63 6f 6e 74 65 6e 74 65 64 69 x]",'[contentedi
0003a550: 74 61 62 6c 65 3d 22 74 72 75 65 22 5d 27 5d 2e table="true"]'].
0003a560: 6d 61 70 28 28 65 3d 3e 60 24 7b 65 7d 3a 6e 6f map((e=>`${e}:no
0003a570: 74 28 5b 74 61 62 69 6e 64 65 78 5e 3d 22 2d 22 t([tabindex^="-"
0003a580: 5d 29 60 29 29 2e 6a 6f 69 6e 28 22 2c 22 29 3b ])`)).join(",");
0003a590: 72 65 74 75 72 6e 20 74 68 69 73 2e 66 69 6e 64 return this.find
0003a5a0: 28 74 2c 65 29 2e 66 69 6c 74 65 72 28 28 65 3d (t,e).filter((e=
0003a5b0: 3e 21 66 28 65 29 26 26 70 28 65 29 29 29 7d 2c >!f(e)&&p(e)))},
0003a5c0: 67 65 74 53 65 6c 65 63 74 6f 72 46 72 6f 6d 45 getSelectorFromE
0003a5d0: 6c 65 6d 65 6e 74 28 65 29 7b 63 6f 6e 73 74 20 lement(e){const
0003a5e0: 74 3d 58 28 65 29 3b 72 65 74 75 72 6e 20 74 26 t=X(e);return t&
0003a5f0: 26 51 2e 66 69 6e 64 4f 6e 65 28 74 29 3f 74 3a &Q.findOne(t)?t:
0003a600: 6e 75 6c 6c 7d 2c 67 65 74 45 6c 65 6d 65 6e 74 null},getElement
0003a610: 46 72 6f 6d 53 65 6c 65 63 74 6f 72 28 65 29 7b FromSelector(e){
0003a620: 63 6f 6e 73 74 20 74 3d 58 28 65 29 3b 72 65 74 const t=X(e);ret
0003a630: 75 72 6e 20 74 3f 51 2e 66 69 6e 64 4f 6e 65 28 urn t?Q.findOne(
0003a640: 74 29 3a 6e 75 6c 6c 7d 2c 67 65 74 4d 75 6c 74 t):null},getMult
0003a650: 69 70 6c 65 45 6c 65 6d 65 6e 74 73 46 72 6f 6d ipleElementsFrom
0003a660: 53 65 6c 65 63 74 6f 72 28 65 29 7b 63 6f 6e 73 Selector(e){cons
0003a670: 74 20 74 3d 58 28 65 29 3b 72 65 74 75 72 6e 20 t t=X(e);return
0003a680: 74 3f 51 2e 66 69 6e 64 28 74 29 3a 5b 5d 7d 7d t?Q.find(t):[]}}
0003a690: 2c 4a 3d 28 65 2c 74 3d 22 68 69 64 65 22 29 3d ,J=(e,t="hide")=
0003a6a0: 3e 7b 63 6f 6e 73 74 20 6e 3d 60 63 6c 69 63 6b >{const n=`click
0003a6b0: 2e 64 69 73 6d 69 73 73 24 7b 65 2e 45 56 45 4e .dismiss${e.EVEN
0003a6c0: 54 5f 4b 45 59 7d 60 2c 72 3d 65 2e 4e 41 4d 45 T_KEY}`,r=e.NAME
0003a6d0: 3b 47 2e 6f 6e 28 64 6f 63 75 6d 65 6e 74 2c 6e ;G.on(document,n
0003a6e0: 2c 60 5b 64 61 74 61 2d 62 73 2d 64 69 73 6d 69 ,`[data-bs-dismi
0003a6f0: 73 73 3d 22 24 7b 72 7d 22 5d 60 2c 28 66 75 6e ss="${r}"]`,(fun
0003a700: 63 74 69 6f 6e 28 6e 29 7b 69 66 28 5b 22 41 22 ction(n){if(["A"
0003a710: 2c 22 41 52 45 41 22 5d 2e 69 6e 63 6c 75 64 65 ,"AREA"].include
0003a720: 73 28 74 68 69 73 2e 74 61 67 4e 61 6d 65 29 26 s(this.tagName)&
0003a730: 26 6e 2e 70 72 65 76 65 6e 74 44 65 66 61 75 6c &n.preventDefaul
0003a740: 74 28 29 2c 66 28 74 68 69 73 29 29 72 65 74 75 t(),f(this))retu
0003a750: 72 6e 3b 63 6f 6e 73 74 20 6f 3d 51 2e 67 65 74 rn;const o=Q.get
0003a760: 45 6c 65 6d 65 6e 74 46 72 6f 6d 53 65 6c 65 63 ElementFromSelec
0003a770: 74 6f 72 28 74 68 69 73 29 7c 7c 74 68 69 73 2e tor(this)||this.
0003a780: 63 6c 6f 73 65 73 74 28 60 2e 24 7b 72 7d 60 29 closest(`.${r}`)
0003a790: 3b 65 2e 67 65 74 4f 72 43 72 65 61 74 65 49 6e ;e.getOrCreateIn
0003a7a0: 73 74 61 6e 63 65 28 6f 29 5b 74 5d 28 29 7d 29 stance(o)[t]()})
0003a7b0: 29 7d 2c 24 3d 22 61 6c 65 72 74 22 2c 65 65 3d )},$="alert",ee=
0003a7c0: 22 2e 62 73 2e 61 6c 65 72 74 22 2c 74 65 3d 60 ".bs.alert",te=`
0003a7d0: 63 6c 6f 73 65 24 7b 65 65 7d 60 2c 6e 65 3d 60 close${ee}`,ne=`
0003a7e0: 63 6c 6f 73 65 64 24 7b 65 65 7d 60 2c 72 65 3d closed${ee}`,re=
0003a7f0: 22 66 61 64 65 22 2c 6f 65 3d 22 73 68 6f 77 22 "fade",oe="show"
0003a800: 3b 63 6c 61 73 73 20 69 65 20 65 78 74 65 6e 64 ;class ie extend
0003a810: 73 20 5a 7b 73 74 61 74 69 63 20 67 65 74 20 4e s Z{static get N
0003a820: 41 4d 45 28 29 7b 72 65 74 75 72 6e 20 24 7d 63 AME(){return $}c
0003a830: 6c 6f 73 65 28 29 7b 69 66 28 47 2e 74 72 69 67 lose(){if(G.trig
0003a840: 67 65 72 28 74 68 69 73 2e 5f 65 6c 65 6d 65 6e ger(this._elemen
0003a850: 74 2c 74 65 29 2e 64 65 66 61 75 6c 74 50 72 65 t,te).defaultPre
0003a860: 76 65 6e 74 65 64 29 72 65 74 75 72 6e 3b 74 68 vented)return;th
0003a870: 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 63 6c 61 73 is._element.clas
0003a880: 73 4c 69 73 74 2e 72 65 6d 6f 76 65 28 6f 65 29 sList.remove(oe)
0003a890: 3b 63 6f 6e 73 74 20 65 3d 74 68 69 73 2e 5f 65 ;const e=this._e
0003a8a0: 6c 65 6d 65 6e 74 2e 63 6c 61 73 73 4c 69 73 74 lement.classList
0003a8b0: 2e 63 6f 6e 74 61 69 6e 73 28 72 65 29 3b 74 68 .contains(re);th
0003a8c0: 69 73 2e 5f 71 75 65 75 65 43 61 6c 6c 62 61 63 is._queueCallbac
0003a8d0: 6b 28 28 28 29 3d 3e 74 68 69 73 2e 5f 64 65 73 k((()=>this._des
0003a8e0: 74 72 6f 79 45 6c 65 6d 65 6e 74 28 29 29 2c 74 troyElement()),t
0003a8f0: 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c 65 29 7d his._element,e)}
0003a900: 5f 64 65 73 74 72 6f 79 45 6c 65 6d 65 6e 74 28 _destroyElement(
0003a910: 29 7b 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e ){this._element.
0003a920: 72 65 6d 6f 76 65 28 29 2c 47 2e 74 72 69 67 67 remove(),G.trigg
0003a930: 65 72 28 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 er(this._element
0003a940: 2c 6e 65 29 2c 74 68 69 73 2e 64 69 73 70 6f 73 ,ne),this.dispos
0003a950: 65 28 29 7d 73 74 61 74 69 63 20 6a 51 75 65 72 e()}static jQuer
0003a960: 79 49 6e 74 65 72 66 61 63 65 28 65 29 7b 72 65 yInterface(e){re
0003a970: 74 75 72 6e 20 74 68 69 73 2e 65 61 63 68 28 28 turn this.each((
0003a980: 66 75 6e 63 74 69 6f 6e 28 29 7b 63 6f 6e 73 74 function(){const
0003a990: 20 74 3d 69 65 2e 67 65 74 4f 72 43 72 65 61 74 t=ie.getOrCreat
0003a9a0: 65 49 6e 73 74 61 6e 63 65 28 74 68 69 73 29 3b eInstance(this);
0003a9b0: 69 66 28 22 73 74 72 69 6e 67 22 3d 3d 74 79 70 if("string"==typ
0003a9c0: 65 6f 66 20 65 29 7b 69 66 28 76 6f 69 64 20 30 eof e){if(void 0
0003a9d0: 3d 3d 3d 74 5b 65 5d 7c 7c 65 2e 73 74 61 72 74 ===t[e]||e.start
0003a9e0: 73 57 69 74 68 28 22 5f 22 29 7c 7c 22 63 6f 6e sWith("_")||"con
0003a9f0: 73 74 72 75 63 74 6f 72 22 3d 3d 3d 65 29 74 68 structor"===e)th
0003aa00: 72 6f 77 20 6e 65 77 20 54 79 70 65 45 72 72 6f row new TypeErro
0003aa10: 72 28 60 4e 6f 20 6d 65 74 68 6f 64 20 6e 61 6d r(`No method nam
0003aa20: 65 64 20 22 24 7b 65 7d 22 60 29 3b 74 5b 65 5d ed "${e}"`);t[e]
0003aa30: 28 74 68 69 73 29 7d 7d 29 29 7d 7d 4a 28 69 65 (this)}}))}}J(ie
0003aa40: 2c 22 63 6c 6f 73 65 22 29 2c 5f 28 69 65 29 3b ,"close"),_(ie);
0003aa50: 63 6f 6e 73 74 20 61 65 3d 22 62 75 74 74 6f 6e const ae="button
0003aa60: 22 2c 73 65 3d 22 61 63 74 69 76 65 22 2c 6c 65 ",se="active",le
0003aa70: 3d 27 5b 64 61 74 61 2d 62 73 2d 74 6f 67 67 6c ='[data-bs-toggl
0003aa80: 65 3d 22 62 75 74 74 6f 6e 22 5d 27 2c 63 65 3d e="button"]',ce=
0003aa90: 22 63 6c 69 63 6b 2e 62 73 2e 62 75 74 74 6f 6e "click.bs.button
0003aaa0: 2e 64 61 74 61 2d 61 70 69 22 3b 63 6c 61 73 73 .data-api";class
0003aab0: 20 75 65 20 65 78 74 65 6e 64 73 20 5a 7b 73 74 ue extends Z{st
0003aac0: 61 74 69 63 20 67 65 74 20 4e 41 4d 45 28 29 7b atic get NAME(){
0003aad0: 72 65 74 75 72 6e 20 61 65 7d 74 6f 67 67 6c 65 return ae}toggle
0003aae0: 28 29 7b 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 (){this._element
0003aaf0: 2e 73 65 74 41 74 74 72 69 62 75 74 65 28 22 61 .setAttribute("a
0003ab00: 72 69 61 2d 70 72 65 73 73 65 64 22 2c 74 68 69 ria-pressed",thi
0003ab10: 73 2e 5f 65 6c 65 6d 65 6e 74 2e 63 6c 61 73 73 s._element.class
0003ab20: 4c 69 73 74 2e 74 6f 67 67 6c 65 28 73 65 29 29 List.toggle(se))
0003ab30: 7d 73 74 61 74 69 63 20 6a 51 75 65 72 79 49 6e }static jQueryIn
0003ab40: 74 65 72 66 61 63 65 28 65 29 7b 72 65 74 75 72 terface(e){retur
0003ab50: 6e 20 74 68 69 73 2e 65 61 63 68 28 28 66 75 6e n this.each((fun
0003ab60: 63 74 69 6f 6e 28 29 7b 63 6f 6e 73 74 20 74 3d ction(){const t=
0003ab70: 75 65 2e 67 65 74 4f 72 43 72 65 61 74 65 49 6e ue.getOrCreateIn
0003ab80: 73 74 61 6e 63 65 28 74 68 69 73 29 3b 22 74 6f stance(this);"to
0003ab90: 67 67 6c 65 22 3d 3d 3d 65 26 26 74 5b 65 5d 28 ggle"===e&&t[e](
0003aba0: 29 7d 29 29 7d 7d 47 2e 6f 6e 28 64 6f 63 75 6d )}))}}G.on(docum
0003abb0: 65 6e 74 2c 63 65 2c 6c 65 2c 28 65 3d 3e 7b 65 ent,ce,le,(e=>{e
0003abc0: 2e 70 72 65 76 65 6e 74 44 65 66 61 75 6c 74 28 .preventDefault(
0003abd0: 29 3b 63 6f 6e 73 74 20 74 3d 65 2e 74 61 72 67 );const t=e.targ
0003abe0: 65 74 2e 63 6c 6f 73 65 73 74 28 6c 65 29 3b 75 et.closest(le);u
0003abf0: 65 2e 67 65 74 4f 72 43 72 65 61 74 65 49 6e 73 e.getOrCreateIns
0003ac00: 74 61 6e 63 65 28 74 29 2e 74 6f 67 67 6c 65 28 tance(t).toggle(
0003ac10: 29 7d 29 29 2c 5f 28 75 65 29 3b 63 6f 6e 73 74 )})),_(ue);const
0003ac20: 20 64 65 3d 22 73 77 69 70 65 22 2c 70 65 3d 22 de="swipe",pe="
0003ac30: 2e 62 73 2e 73 77 69 70 65 22 2c 66 65 3d 60 74 .bs.swipe",fe=`t
0003ac40: 6f 75 63 68 73 74 61 72 74 24 7b 70 65 7d 60 2c ouchstart${pe}`,
0003ac50: 6d 65 3d 60 74 6f 75 63 68 6d 6f 76 65 24 7b 70 me=`touchmove${p
0003ac60: 65 7d 60 2c 68 65 3d 60 74 6f 75 63 68 65 6e 64 e}`,he=`touchend
0003ac70: 24 7b 70 65 7d 60 2c 67 65 3d 60 70 6f 69 6e 74 ${pe}`,ge=`point
0003ac80: 65 72 64 6f 77 6e 24 7b 70 65 7d 60 2c 62 65 3d erdown${pe}`,be=
0003ac90: 60 70 6f 69 6e 74 65 72 75 70 24 7b 70 65 7d 60 `pointerup${pe}`
0003aca0: 2c 76 65 3d 22 74 6f 75 63 68 22 2c 79 65 3d 22 ,ve="touch",ye="
0003acb0: 70 65 6e 22 2c 45 65 3d 22 70 6f 69 6e 74 65 72 pen",Ee="pointer
0003acc0: 2d 65 76 65 6e 74 22 2c 5f 65 3d 34 30 2c 53 65 -event",_e=40,Se
0003acd0: 3d 7b 65 6e 64 43 61 6c 6c 62 61 63 6b 3a 6e 75 ={endCallback:nu
0003ace0: 6c 6c 2c 6c 65 66 74 43 61 6c 6c 62 61 63 6b 3a ll,leftCallback:
0003acf0: 6e 75 6c 6c 2c 72 69 67 68 74 43 61 6c 6c 62 61 null,rightCallba
0003ad00: 63 6b 3a 6e 75 6c 6c 7d 2c 77 65 3d 7b 65 6e 64 ck:null},we={end
0003ad10: 43 61 6c 6c 62 61 63 6b 3a 22 28 66 75 6e 63 74 Callback:"(funct
0003ad20: 69 6f 6e 7c 6e 75 6c 6c 29 22 2c 6c 65 66 74 43 ion|null)",leftC
0003ad30: 61 6c 6c 62 61 63 6b 3a 22 28 66 75 6e 63 74 69 allback:"(functi
0003ad40: 6f 6e 7c 6e 75 6c 6c 29 22 2c 72 69 67 68 74 43 on|null)",rightC
0003ad50: 61 6c 6c 62 61 63 6b 3a 22 28 66 75 6e 63 74 69 allback:"(functi
0003ad60: 6f 6e 7c 6e 75 6c 6c 29 22 7d 3b 63 6c 61 73 73 on|null)"};class
0003ad70: 20 54 65 20 65 78 74 65 6e 64 73 20 59 7b 63 6f Te extends Y{co
0003ad80: 6e 73 74 72 75 63 74 6f 72 28 65 2c 74 29 7b 73 nstructor(e,t){s
0003ad90: 75 70 65 72 28 29 2c 74 68 69 73 2e 5f 65 6c 65 uper(),this._ele
0003ada0: 6d 65 6e 74 3d 65 2c 65 26 26 54 65 2e 69 73 53 ment=e,e&&Te.isS
0003adb0: 75 70 70 6f 72 74 65 64 28 29 26 26 28 74 68 69 upported()&&(thi
0003adc0: 73 2e 5f 63 6f 6e 66 69 67 3d 74 68 69 73 2e 5f s._config=this._
0003add0: 67 65 74 43 6f 6e 66 69 67 28 74 29 2c 74 68 69 getConfig(t),thi
0003ade0: 73 2e 5f 64 65 6c 74 61 58 3d 30 2c 74 68 69 73 s._deltaX=0,this
0003adf0: 2e 5f 73 75 70 70 6f 72 74 50 6f 69 6e 74 65 72 ._supportPointer
0003ae00: 45 76 65 6e 74 73 3d 42 6f 6f 6c 65 61 6e 28 77 Events=Boolean(w
0003ae10: 69 6e 64 6f 77 2e 50 6f 69 6e 74 65 72 45 76 65 indow.PointerEve
0003ae20: 6e 74 29 2c 74 68 69 73 2e 5f 69 6e 69 74 45 76 nt),this._initEv
0003ae30: 65 6e 74 73 28 29 29 7d 73 74 61 74 69 63 20 67 ents())}static g
0003ae40: 65 74 20 44 65 66 61 75 6c 74 28 29 7b 72 65 74 et Default(){ret
0003ae50: 75 72 6e 20 53 65 7d 73 74 61 74 69 63 20 67 65 urn Se}static ge
0003ae60: 74 20 44 65 66 61 75 6c 74 54 79 70 65 28 29 7b t DefaultType(){
0003ae70: 72 65 74 75 72 6e 20 77 65 7d 73 74 61 74 69 63 return we}static
0003ae80: 20 67 65 74 20 4e 41 4d 45 28 29 7b 72 65 74 75 get NAME(){retu
0003ae90: 72 6e 20 64 65 7d 64 69 73 70 6f 73 65 28 29 7b rn de}dispose(){
0003aea0: 47 2e 6f 66 66 28 74 68 69 73 2e 5f 65 6c 65 6d G.off(this._elem
0003aeb0: 65 6e 74 2c 70 65 29 7d 5f 73 74 61 72 74 28 65 ent,pe)}_start(e
0003aec0: 29 7b 74 68 69 73 2e 5f 73 75 70 70 6f 72 74 50 ){this._supportP
0003aed0: 6f 69 6e 74 65 72 45 76 65 6e 74 73 3f 74 68 69 ointerEvents?thi
0003aee0: 73 2e 5f 65 76 65 6e 74 49 73 50 6f 69 6e 74 65 s._eventIsPointe
0003aef0: 72 50 65 6e 54 6f 75 63 68 28 65 29 26 26 28 74 rPenTouch(e)&&(t
0003af00: 68 69 73 2e 5f 64 65 6c 74 61 58 3d 65 2e 63 6c his._deltaX=e.cl
0003af10: 69 65 6e 74 58 29 3a 74 68 69 73 2e 5f 64 65 6c ientX):this._del
0003af20: 74 61 58 3d 65 2e 74 6f 75 63 68 65 73 5b 30 5d taX=e.touches[0]
0003af30: 2e 63 6c 69 65 6e 74 58 7d 5f 65 6e 64 28 65 29 .clientX}_end(e)
0003af40: 7b 74 68 69 73 2e 5f 65 76 65 6e 74 49 73 50 6f {this._eventIsPo
0003af50: 69 6e 74 65 72 50 65 6e 54 6f 75 63 68 28 65 29 interPenTouch(e)
0003af60: 26 26 28 74 68 69 73 2e 5f 64 65 6c 74 61 58 3d &&(this._deltaX=
0003af70: 65 2e 63 6c 69 65 6e 74 58 2d 74 68 69 73 2e 5f e.clientX-this._
0003af80: 64 65 6c 74 61 58 29 2c 74 68 69 73 2e 5f 68 61 deltaX),this._ha
0003af90: 6e 64 6c 65 53 77 69 70 65 28 29 2c 53 28 74 68 ndleSwipe(),S(th
0003afa0: 69 73 2e 5f 63 6f 6e 66 69 67 2e 65 6e 64 43 61 is._config.endCa
0003afb0: 6c 6c 62 61 63 6b 29 7d 5f 6d 6f 76 65 28 65 29 llback)}_move(e)
0003afc0: 7b 74 68 69 73 2e 5f 64 65 6c 74 61 58 3d 65 2e {this._deltaX=e.
0003afd0: 74 6f 75 63 68 65 73 26 26 65 2e 74 6f 75 63 68 touches&&e.touch
0003afe0: 65 73 2e 6c 65 6e 67 74 68 3e 31 3f 30 3a 65 2e es.length>1?0:e.
0003aff0: 74 6f 75 63 68 65 73 5b 30 5d 2e 63 6c 69 65 6e touches[0].clien
0003b000: 74 58 2d 74 68 69 73 2e 5f 64 65 6c 74 61 58 7d tX-this._deltaX}
0003b010: 5f 68 61 6e 64 6c 65 53 77 69 70 65 28 29 7b 63 _handleSwipe(){c
0003b020: 6f 6e 73 74 20 65 3d 4d 61 74 68 2e 61 62 73 28 onst e=Math.abs(
0003b030: 74 68 69 73 2e 5f 64 65 6c 74 61 58 29 3b 69 66 this._deltaX);if
0003b040: 28 65 3c 3d 5f 65 29 72 65 74 75 72 6e 3b 63 6f (e<=_e)return;co
0003b050: 6e 73 74 20 74 3d 65 2f 74 68 69 73 2e 5f 64 65 nst t=e/this._de
0003b060: 6c 74 61 58 3b 74 68 69 73 2e 5f 64 65 6c 74 61 ltaX;this._delta
0003b070: 58 3d 30 2c 74 26 26 53 28 74 3e 30 3f 74 68 69 X=0,t&&S(t>0?thi
0003b080: 73 2e 5f 63 6f 6e 66 69 67 2e 72 69 67 68 74 43 s._config.rightC
0003b090: 61 6c 6c 62 61 63 6b 3a 74 68 69 73 2e 5f 63 6f allback:this._co
0003b0a0: 6e 66 69 67 2e 6c 65 66 74 43 61 6c 6c 62 61 63 nfig.leftCallbac
0003b0b0: 6b 29 7d 5f 69 6e 69 74 45 76 65 6e 74 73 28 29 k)}_initEvents()
0003b0c0: 7b 74 68 69 73 2e 5f 73 75 70 70 6f 72 74 50 6f {this._supportPo
0003b0d0: 69 6e 74 65 72 45 76 65 6e 74 73 3f 28 47 2e 6f interEvents?(G.o
0003b0e0: 6e 28 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c n(this._element,
0003b0f0: 67 65 2c 28 65 3d 3e 74 68 69 73 2e 5f 73 74 61 ge,(e=>this._sta
0003b100: 72 74 28 65 29 29 29 2c 47 2e 6f 6e 28 74 68 69 rt(e))),G.on(thi
0003b110: 73 2e 5f 65 6c 65 6d 65 6e 74 2c 62 65 2c 28 65 s._element,be,(e
0003b120: 3d 3e 74 68 69 73 2e 5f 65 6e 64 28 65 29 29 29 =>this._end(e)))
0003b130: 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 63 ,this._element.c
0003b140: 6c 61 73 73 4c 69 73 74 2e 61 64 64 28 45 65 29 lassList.add(Ee)
0003b150: 29 3a 28 47 2e 6f 6e 28 74 68 69 73 2e 5f 65 6c ):(G.on(this._el
0003b160: 65 6d 65 6e 74 2c 66 65 2c 28 65 3d 3e 74 68 69 ement,fe,(e=>thi
0003b170: 73 2e 5f 73 74 61 72 74 28 65 29 29 29 2c 47 2e s._start(e))),G.
0003b180: 6f 6e 28 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 on(this._element
0003b190: 2c 6d 65 2c 28 65 3d 3e 74 68 69 73 2e 5f 6d 6f ,me,(e=>this._mo
0003b1a0: 76 65 28 65 29 29 29 2c 47 2e 6f 6e 28 74 68 69 ve(e))),G.on(thi
0003b1b0: 73 2e 5f 65 6c 65 6d 65 6e 74 2c 68 65 2c 28 65 s._element,he,(e
0003b1c0: 3d 3e 74 68 69 73 2e 5f 65 6e 64 28 65 29 29 29 =>this._end(e)))
0003b1d0: 29 7d 5f 65 76 65 6e 74 49 73 50 6f 69 6e 74 65 )}_eventIsPointe
0003b1e0: 72 50 65 6e 54 6f 75 63 68 28 65 29 7b 72 65 74 rPenTouch(e){ret
0003b1f0: 75 72 6e 20 74 68 69 73 2e 5f 73 75 70 70 6f 72 urn this._suppor
0003b200: 74 50 6f 69 6e 74 65 72 45 76 65 6e 74 73 26 26 tPointerEvents&&
0003b210: 28 65 2e 70 6f 69 6e 74 65 72 54 79 70 65 3d 3d (e.pointerType==
0003b220: 3d 79 65 7c 7c 65 2e 70 6f 69 6e 74 65 72 54 79 =ye||e.pointerTy
0003b230: 70 65 3d 3d 3d 76 65 29 7d 73 74 61 74 69 63 20 pe===ve)}static
0003b240: 69 73 53 75 70 70 6f 72 74 65 64 28 29 7b 72 65 isSupported(){re
0003b250: 74 75 72 6e 22 6f 6e 74 6f 75 63 68 73 74 61 72 turn"ontouchstar
0003b260: 74 22 69 6e 20 64 6f 63 75 6d 65 6e 74 2e 64 6f t"in document.do
0003b270: 63 75 6d 65 6e 74 45 6c 65 6d 65 6e 74 7c 7c 6e cumentElement||n
0003b280: 61 76 69 67 61 74 6f 72 2e 6d 61 78 54 6f 75 63 avigator.maxTouc
0003b290: 68 50 6f 69 6e 74 73 3e 30 7d 7d 63 6f 6e 73 74 hPoints>0}}const
0003b2a0: 20 78 65 3d 22 63 61 72 6f 75 73 65 6c 22 2c 41 xe="carousel",A
0003b2b0: 65 3d 22 2e 62 73 2e 63 61 72 6f 75 73 65 6c 22 e=".bs.carousel"
0003b2c0: 2c 43 65 3d 22 2e 64 61 74 61 2d 61 70 69 22 2c ,Ce=".data-api",
0003b2d0: 52 65 3d 35 30 30 2c 6b 65 3d 22 6e 65 78 74 22 Re=500,ke="next"
0003b2e0: 2c 4f 65 3d 22 70 72 65 76 22 2c 4e 65 3d 22 6c ,Oe="prev",Ne="l
0003b2f0: 65 66 74 22 2c 49 65 3d 22 72 69 67 68 74 22 2c eft",Ie="right",
0003b300: 4d 65 3d 60 73 6c 69 64 65 24 7b 41 65 7d 60 2c Me=`slide${Ae}`,
0003b310: 50 65 3d 60 73 6c 69 64 24 7b 41 65 7d 60 2c 44 Pe=`slid${Ae}`,D
0003b320: 65 3d 60 6b 65 79 64 6f 77 6e 24 7b 41 65 7d 60 e=`keydown${Ae}`
0003b330: 2c 4c 65 3d 60 6d 6f 75 73 65 65 6e 74 65 72 24 ,Le=`mouseenter$
0003b340: 7b 41 65 7d 60 2c 46 65 3d 60 6d 6f 75 73 65 6c {Ae}`,Fe=`mousel
0003b350: 65 61 76 65 24 7b 41 65 7d 60 2c 55 65 3d 60 64 eave${Ae}`,Ue=`d
0003b360: 72 61 67 73 74 61 72 74 24 7b 41 65 7d 60 2c 42 ragstart${Ae}`,B
0003b370: 65 3d 60 6c 6f 61 64 24 7b 41 65 7d 24 7b 43 65 e=`load${Ae}${Ce
0003b380: 7d 60 2c 6a 65 3d 60 63 6c 69 63 6b 24 7b 41 65 }`,je=`click${Ae
0003b390: 7d 24 7b 43 65 7d 60 2c 7a 65 3d 22 63 61 72 6f }${Ce}`,ze="caro
0003b3a0: 75 73 65 6c 22 2c 47 65 3d 22 61 63 74 69 76 65 usel",Ge="active
0003b3b0: 22 2c 48 65 3d 22 73 6c 69 64 65 22 2c 56 65 3d ",He="slide",Ve=
0003b3c0: 22 63 61 72 6f 75 73 65 6c 2d 69 74 65 6d 2d 65 "carousel-item-e
0003b3d0: 6e 64 22 2c 71 65 3d 22 63 61 72 6f 75 73 65 6c nd",qe="carousel
0003b3e0: 2d 69 74 65 6d 2d 73 74 61 72 74 22 2c 57 65 3d -item-start",We=
0003b3f0: 22 63 61 72 6f 75 73 65 6c 2d 69 74 65 6d 2d 6e "carousel-item-n
0003b400: 65 78 74 22 2c 59 65 3d 22 63 61 72 6f 75 73 65 ext",Ye="carouse
0003b410: 6c 2d 69 74 65 6d 2d 70 72 65 76 22 2c 4b 65 3d l-item-prev",Ke=
0003b420: 22 2e 61 63 74 69 76 65 22 2c 5a 65 3d 22 2e 63 ".active",Ze=".c
0003b430: 61 72 6f 75 73 65 6c 2d 69 74 65 6d 22 2c 58 65 arousel-item",Xe
0003b440: 3d 4b 65 2b 5a 65 2c 51 65 3d 22 2e 63 61 72 6f =Ke+Ze,Qe=".caro
0003b450: 75 73 65 6c 2d 69 74 65 6d 20 69 6d 67 22 2c 4a usel-item img",J
0003b460: 65 3d 22 2e 63 61 72 6f 75 73 65 6c 2d 69 6e 64 e=".carousel-ind
0003b470: 69 63 61 74 6f 72 73 22 2c 24 65 3d 22 5b 64 61 icators",$e="[da
0003b480: 74 61 2d 62 73 2d 73 6c 69 64 65 5d 2c 20 5b 64 ta-bs-slide], [d
0003b490: 61 74 61 2d 62 73 2d 73 6c 69 64 65 2d 74 6f 5d ata-bs-slide-to]
0003b4a0: 22 2c 65 74 3d 27 5b 64 61 74 61 2d 62 73 2d 72 ",et='[data-bs-r
0003b4b0: 69 64 65 3d 22 63 61 72 6f 75 73 65 6c 22 5d 27 ide="carousel"]'
0003b4c0: 2c 74 74 3d 7b 41 72 72 6f 77 4c 65 66 74 3a 49 ,tt={ArrowLeft:I
0003b4d0: 65 2c 41 72 72 6f 77 52 69 67 68 74 3a 4e 65 7d e,ArrowRight:Ne}
0003b4e0: 2c 6e 74 3d 7b 69 6e 74 65 72 76 61 6c 3a 35 65 ,nt={interval:5e
0003b4f0: 33 2c 6b 65 79 62 6f 61 72 64 3a 21 30 2c 70 61 3,keyboard:!0,pa
0003b500: 75 73 65 3a 22 68 6f 76 65 72 22 2c 72 69 64 65 use:"hover",ride
0003b510: 3a 21 31 2c 74 6f 75 63 68 3a 21 30 2c 77 72 61 :!1,touch:!0,wra
0003b520: 70 3a 21 30 7d 2c 72 74 3d 7b 69 6e 74 65 72 76 p:!0},rt={interv
0003b530: 61 6c 3a 22 28 6e 75 6d 62 65 72 7c 62 6f 6f 6c al:"(number|bool
0003b540: 65 61 6e 29 22 2c 6b 65 79 62 6f 61 72 64 3a 22 ean)",keyboard:"
0003b550: 62 6f 6f 6c 65 61 6e 22 2c 70 61 75 73 65 3a 22 boolean",pause:"
0003b560: 28 73 74 72 69 6e 67 7c 62 6f 6f 6c 65 61 6e 29 (string|boolean)
0003b570: 22 2c 72 69 64 65 3a 22 28 62 6f 6f 6c 65 61 6e ",ride:"(boolean
0003b580: 7c 73 74 72 69 6e 67 29 22 2c 74 6f 75 63 68 3a |string)",touch:
0003b590: 22 62 6f 6f 6c 65 61 6e 22 2c 77 72 61 70 3a 22 "boolean",wrap:"
0003b5a0: 62 6f 6f 6c 65 61 6e 22 7d 3b 63 6c 61 73 73 20 boolean"};class
0003b5b0: 6f 74 20 65 78 74 65 6e 64 73 20 5a 7b 63 6f 6e ot extends Z{con
0003b5c0: 73 74 72 75 63 74 6f 72 28 65 2c 74 29 7b 73 75 structor(e,t){su
0003b5d0: 70 65 72 28 65 2c 74 29 2c 74 68 69 73 2e 5f 69 per(e,t),this._i
0003b5e0: 6e 74 65 72 76 61 6c 3d 6e 75 6c 6c 2c 74 68 69 nterval=null,thi
0003b5f0: 73 2e 5f 61 63 74 69 76 65 45 6c 65 6d 65 6e 74 s._activeElement
0003b600: 3d 6e 75 6c 6c 2c 74 68 69 73 2e 5f 69 73 53 6c =null,this._isSl
0003b610: 69 64 69 6e 67 3d 21 31 2c 74 68 69 73 2e 74 6f iding=!1,this.to
0003b620: 75 63 68 54 69 6d 65 6f 75 74 3d 6e 75 6c 6c 2c uchTimeout=null,
0003b630: 74 68 69 73 2e 5f 73 77 69 70 65 48 65 6c 70 65 this._swipeHelpe
0003b640: 72 3d 6e 75 6c 6c 2c 74 68 69 73 2e 5f 69 6e 64 r=null,this._ind
0003b650: 69 63 61 74 6f 72 73 45 6c 65 6d 65 6e 74 3d 51 icatorsElement=Q
0003b660: 2e 66 69 6e 64 4f 6e 65 28 4a 65 2c 74 68 69 73 .findOne(Je,this
0003b670: 2e 5f 65 6c 65 6d 65 6e 74 29 2c 74 68 69 73 2e ._element),this.
0003b680: 5f 61 64 64 45 76 65 6e 74 4c 69 73 74 65 6e 65 _addEventListene
0003b690: 72 73 28 29 2c 74 68 69 73 2e 5f 63 6f 6e 66 69 rs(),this._confi
0003b6a0: 67 2e 72 69 64 65 3d 3d 3d 7a 65 26 26 74 68 69 g.ride===ze&&thi
0003b6b0: 73 2e 63 79 63 6c 65 28 29 7d 73 74 61 74 69 63 s.cycle()}static
0003b6c0: 20 67 65 74 20 44 65 66 61 75 6c 74 28 29 7b 72 get Default(){r
0003b6d0: 65 74 75 72 6e 20 6e 74 7d 73 74 61 74 69 63 20 eturn nt}static
0003b6e0: 67 65 74 20 44 65 66 61 75 6c 74 54 79 70 65 28 get DefaultType(
0003b6f0: 29 7b 72 65 74 75 72 6e 20 72 74 7d 73 74 61 74 ){return rt}stat
0003b700: 69 63 20 67 65 74 20 4e 41 4d 45 28 29 7b 72 65 ic get NAME(){re
0003b710: 74 75 72 6e 20 78 65 7d 6e 65 78 74 28 29 7b 74 turn xe}next(){t
0003b720: 68 69 73 2e 5f 73 6c 69 64 65 28 6b 65 29 7d 6e his._slide(ke)}n
0003b730: 65 78 74 57 68 65 6e 56 69 73 69 62 6c 65 28 29 extWhenVisible()
0003b740: 7b 21 64 6f 63 75 6d 65 6e 74 2e 68 69 64 64 65 {!document.hidde
0003b750: 6e 26 26 70 28 74 68 69 73 2e 5f 65 6c 65 6d 65 n&&p(this._eleme
0003b760: 6e 74 29 26 26 74 68 69 73 2e 6e 65 78 74 28 29 nt)&&this.next()
0003b770: 7d 70 72 65 76 28 29 7b 74 68 69 73 2e 5f 73 6c }prev(){this._sl
0003b780: 69 64 65 28 4f 65 29 7d 70 61 75 73 65 28 29 7b ide(Oe)}pause(){
0003b790: 74 68 69 73 2e 5f 69 73 53 6c 69 64 69 6e 67 26 this._isSliding&
0003b7a0: 26 63 28 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 &c(this._element
0003b7b0: 29 2c 74 68 69 73 2e 5f 63 6c 65 61 72 49 6e 74 ),this._clearInt
0003b7c0: 65 72 76 61 6c 28 29 7d 63 79 63 6c 65 28 29 7b erval()}cycle(){
0003b7d0: 74 68 69 73 2e 5f 63 6c 65 61 72 49 6e 74 65 72 this._clearInter
0003b7e0: 76 61 6c 28 29 2c 74 68 69 73 2e 5f 75 70 64 61 val(),this._upda
0003b7f0: 74 65 49 6e 74 65 72 76 61 6c 28 29 2c 74 68 69 teInterval(),thi
0003b800: 73 2e 5f 69 6e 74 65 72 76 61 6c 3d 73 65 74 49 s._interval=setI
0003b810: 6e 74 65 72 76 61 6c 28 28 28 29 3d 3e 74 68 69 nterval((()=>thi
0003b820: 73 2e 6e 65 78 74 57 68 65 6e 56 69 73 69 62 6c s.nextWhenVisibl
0003b830: 65 28 29 29 2c 74 68 69 73 2e 5f 63 6f 6e 66 69 e()),this._confi
0003b840: 67 2e 69 6e 74 65 72 76 61 6c 29 7d 5f 6d 61 79 g.interval)}_may
0003b850: 62 65 45 6e 61 62 6c 65 43 79 63 6c 65 28 29 7b beEnableCycle(){
0003b860: 74 68 69 73 2e 5f 63 6f 6e 66 69 67 2e 72 69 64 this._config.rid
0003b870: 65 26 26 28 74 68 69 73 2e 5f 69 73 53 6c 69 64 e&&(this._isSlid
0003b880: 69 6e 67 3f 47 2e 6f 6e 65 28 74 68 69 73 2e 5f ing?G.one(this._
0003b890: 65 6c 65 6d 65 6e 74 2c 50 65 2c 28 28 29 3d 3e element,Pe,(()=>
0003b8a0: 74 68 69 73 2e 63 79 63 6c 65 28 29 29 29 3a 74 this.cycle())):t
0003b8b0: 68 69 73 2e 63 79 63 6c 65 28 29 29 7d 74 6f 28 his.cycle())}to(
0003b8c0: 65 29 7b 63 6f 6e 73 74 20 74 3d 74 68 69 73 2e e){const t=this.
0003b8d0: 5f 67 65 74 49 74 65 6d 73 28 29 3b 69 66 28 65 _getItems();if(e
0003b8e0: 3e 74 2e 6c 65 6e 67 74 68 2d 31 7c 7c 65 3c 30 >t.length-1||e<0
0003b8f0: 29 72 65 74 75 72 6e 3b 69 66 28 74 68 69 73 2e )return;if(this.
0003b900: 5f 69 73 53 6c 69 64 69 6e 67 29 72 65 74 75 72 _isSliding)retur
0003b910: 6e 20 76 6f 69 64 20 47 2e 6f 6e 65 28 74 68 69 n void G.one(thi
0003b920: 73 2e 5f 65 6c 65 6d 65 6e 74 2c 50 65 2c 28 28 s._element,Pe,((
0003b930: 29 3d 3e 74 68 69 73 2e 74 6f 28 65 29 29 29 3b )=>this.to(e)));
0003b940: 63 6f 6e 73 74 20 6e 3d 74 68 69 73 2e 5f 67 65 const n=this._ge
0003b950: 74 49 74 65 6d 49 6e 64 65 78 28 74 68 69 73 2e tItemIndex(this.
0003b960: 5f 67 65 74 41 63 74 69 76 65 28 29 29 3b 69 66 _getActive());if
0003b970: 28 6e 3d 3d 3d 65 29 72 65 74 75 72 6e 3b 63 6f (n===e)return;co
0003b980: 6e 73 74 20 72 3d 65 3e 6e 3f 6b 65 3a 4f 65 3b nst r=e>n?ke:Oe;
0003b990: 74 68 69 73 2e 5f 73 6c 69 64 65 28 72 2c 74 5b this._slide(r,t[
0003b9a0: 65 5d 29 7d 64 69 73 70 6f 73 65 28 29 7b 74 68 e])}dispose(){th
0003b9b0: 69 73 2e 5f 73 77 69 70 65 48 65 6c 70 65 72 26 is._swipeHelper&
0003b9c0: 26 74 68 69 73 2e 5f 73 77 69 70 65 48 65 6c 70 &this._swipeHelp
0003b9d0: 65 72 2e 64 69 73 70 6f 73 65 28 29 2c 73 75 70 er.dispose(),sup
0003b9e0: 65 72 2e 64 69 73 70 6f 73 65 28 29 7d 5f 63 6f er.dispose()}_co
0003b9f0: 6e 66 69 67 41 66 74 65 72 4d 65 72 67 65 28 65 nfigAfterMerge(e
0003ba00: 29 7b 72 65 74 75 72 6e 20 65 2e 64 65 66 61 75 ){return e.defau
0003ba10: 6c 74 49 6e 74 65 72 76 61 6c 3d 65 2e 69 6e 74 ltInterval=e.int
0003ba20: 65 72 76 61 6c 2c 65 7d 5f 61 64 64 45 76 65 6e erval,e}_addEven
0003ba30: 74 4c 69 73 74 65 6e 65 72 73 28 29 7b 74 68 69 tListeners(){thi
0003ba40: 73 2e 5f 63 6f 6e 66 69 67 2e 6b 65 79 62 6f 61 s._config.keyboa
0003ba50: 72 64 26 26 47 2e 6f 6e 28 74 68 69 73 2e 5f 65 rd&&G.on(this._e
0003ba60: 6c 65 6d 65 6e 74 2c 44 65 2c 28 65 3d 3e 74 68 lement,De,(e=>th
0003ba70: 69 73 2e 5f 6b 65 79 64 6f 77 6e 28 65 29 29 29 is._keydown(e)))
0003ba80: 2c 22 68 6f 76 65 72 22 3d 3d 3d 74 68 69 73 2e ,"hover"===this.
0003ba90: 5f 63 6f 6e 66 69 67 2e 70 61 75 73 65 26 26 28 _config.pause&&(
0003baa0: 47 2e 6f 6e 28 74 68 69 73 2e 5f 65 6c 65 6d 65 G.on(this._eleme
0003bab0: 6e 74 2c 4c 65 2c 28 28 29 3d 3e 74 68 69 73 2e nt,Le,(()=>this.
0003bac0: 70 61 75 73 65 28 29 29 29 2c 47 2e 6f 6e 28 74 pause())),G.on(t
0003bad0: 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c 46 65 2c his._element,Fe,
0003bae0: 28 28 29 3d 3e 74 68 69 73 2e 5f 6d 61 79 62 65 (()=>this._maybe
0003baf0: 45 6e 61 62 6c 65 43 79 63 6c 65 28 29 29 29 29 EnableCycle())))
0003bb00: 2c 74 68 69 73 2e 5f 63 6f 6e 66 69 67 2e 74 6f ,this._config.to
0003bb10: 75 63 68 26 26 54 65 2e 69 73 53 75 70 70 6f 72 uch&&Te.isSuppor
0003bb20: 74 65 64 28 29 26 26 74 68 69 73 2e 5f 61 64 64 ted()&&this._add
0003bb30: 54 6f 75 63 68 45 76 65 6e 74 4c 69 73 74 65 6e TouchEventListen
0003bb40: 65 72 73 28 29 7d 5f 61 64 64 54 6f 75 63 68 45 ers()}_addTouchE
0003bb50: 76 65 6e 74 4c 69 73 74 65 6e 65 72 73 28 29 7b ventListeners(){
0003bb60: 66 6f 72 28 63 6f 6e 73 74 20 65 20 6f 66 20 51 for(const e of Q
0003bb70: 2e 66 69 6e 64 28 51 65 2c 74 68 69 73 2e 5f 65 .find(Qe,this._e
0003bb80: 6c 65 6d 65 6e 74 29 29 47 2e 6f 6e 28 65 2c 55 lement))G.on(e,U
0003bb90: 65 2c 28 65 3d 3e 65 2e 70 72 65 76 65 6e 74 44 e,(e=>e.preventD
0003bba0: 65 66 61 75 6c 74 28 29 29 29 3b 63 6f 6e 73 74 efault()));const
0003bbb0: 20 65 3d 7b 6c 65 66 74 43 61 6c 6c 62 61 63 6b e={leftCallback
0003bbc0: 3a 28 29 3d 3e 74 68 69 73 2e 5f 73 6c 69 64 65 :()=>this._slide
0003bbd0: 28 74 68 69 73 2e 5f 64 69 72 65 63 74 69 6f 6e (this._direction
0003bbe0: 54 6f 4f 72 64 65 72 28 4e 65 29 29 2c 72 69 67 ToOrder(Ne)),rig
0003bbf0: 68 74 43 61 6c 6c 62 61 63 6b 3a 28 29 3d 3e 74 htCallback:()=>t
0003bc00: 68 69 73 2e 5f 73 6c 69 64 65 28 74 68 69 73 2e his._slide(this.
0003bc10: 5f 64 69 72 65 63 74 69 6f 6e 54 6f 4f 72 64 65 _directionToOrde
0003bc20: 72 28 49 65 29 29 2c 65 6e 64 43 61 6c 6c 62 61 r(Ie)),endCallba
0003bc30: 63 6b 3a 28 29 3d 3e 7b 22 68 6f 76 65 72 22 3d ck:()=>{"hover"=
0003bc40: 3d 3d 74 68 69 73 2e 5f 63 6f 6e 66 69 67 2e 70 ==this._config.p
0003bc50: 61 75 73 65 26 26 28 74 68 69 73 2e 70 61 75 73 ause&&(this.paus
0003bc60: 65 28 29 2c 74 68 69 73 2e 74 6f 75 63 68 54 69 e(),this.touchTi
0003bc70: 6d 65 6f 75 74 26 26 63 6c 65 61 72 54 69 6d 65 meout&&clearTime
0003bc80: 6f 75 74 28 74 68 69 73 2e 74 6f 75 63 68 54 69 out(this.touchTi
0003bc90: 6d 65 6f 75 74 29 2c 74 68 69 73 2e 74 6f 75 63 meout),this.touc
0003bca0: 68 54 69 6d 65 6f 75 74 3d 73 65 74 54 69 6d 65 hTimeout=setTime
0003bcb0: 6f 75 74 28 28 28 29 3d 3e 74 68 69 73 2e 5f 6d out((()=>this._m
0003bcc0: 61 79 62 65 45 6e 61 62 6c 65 43 79 63 6c 65 28 aybeEnableCycle(
0003bcd0: 29 29 2c 52 65 2b 74 68 69 73 2e 5f 63 6f 6e 66 )),Re+this._conf
0003bce0: 69 67 2e 69 6e 74 65 72 76 61 6c 29 29 7d 7d 3b ig.interval))}};
0003bcf0: 74 68 69 73 2e 5f 73 77 69 70 65 48 65 6c 70 65 this._swipeHelpe
0003bd00: 72 3d 6e 65 77 20 54 65 28 74 68 69 73 2e 5f 65 r=new Te(this._e
0003bd10: 6c 65 6d 65 6e 74 2c 65 29 7d 5f 6b 65 79 64 6f lement,e)}_keydo
0003bd20: 77 6e 28 65 29 7b 69 66 28 2f 69 6e 70 75 74 7c wn(e){if(/input|
0003bd30: 74 65 78 74 61 72 65 61 2f 69 2e 74 65 73 74 28 textarea/i.test(
0003bd40: 65 2e 74 61 72 67 65 74 2e 74 61 67 4e 61 6d 65 e.target.tagName
0003bd50: 29 29 72 65 74 75 72 6e 3b 63 6f 6e 73 74 20 74 ))return;const t
0003bd60: 3d 74 74 5b 65 2e 6b 65 79 5d 3b 74 26 26 28 65 =tt[e.key];t&&(e
0003bd70: 2e 70 72 65 76 65 6e 74 44 65 66 61 75 6c 74 28 .preventDefault(
0003bd80: 29 2c 74 68 69 73 2e 5f 73 6c 69 64 65 28 74 68 ),this._slide(th
0003bd90: 69 73 2e 5f 64 69 72 65 63 74 69 6f 6e 54 6f 4f is._directionToO
0003bda0: 72 64 65 72 28 74 29 29 29 7d 5f 67 65 74 49 74 rder(t)))}_getIt
0003bdb0: 65 6d 49 6e 64 65 78 28 65 29 7b 72 65 74 75 72 emIndex(e){retur
0003bdc0: 6e 20 74 68 69 73 2e 5f 67 65 74 49 74 65 6d 73 n this._getItems
0003bdd0: 28 29 2e 69 6e 64 65 78 4f 66 28 65 29 7d 5f 73 ().indexOf(e)}_s
0003bde0: 65 74 41 63 74 69 76 65 49 6e 64 69 63 61 74 6f etActiveIndicato
0003bdf0: 72 45 6c 65 6d 65 6e 74 28 65 29 7b 69 66 28 21 rElement(e){if(!
0003be00: 74 68 69 73 2e 5f 69 6e 64 69 63 61 74 6f 72 73 this._indicators
0003be10: 45 6c 65 6d 65 6e 74 29 72 65 74 75 72 6e 3b 63 Element)return;c
0003be20: 6f 6e 73 74 20 74 3d 51 2e 66 69 6e 64 4f 6e 65 onst t=Q.findOne
0003be30: 28 4b 65 2c 74 68 69 73 2e 5f 69 6e 64 69 63 61 (Ke,this._indica
0003be40: 74 6f 72 73 45 6c 65 6d 65 6e 74 29 3b 74 2e 63 torsElement);t.c
0003be50: 6c 61 73 73 4c 69 73 74 2e 72 65 6d 6f 76 65 28 lassList.remove(
0003be60: 47 65 29 2c 74 2e 72 65 6d 6f 76 65 41 74 74 72 Ge),t.removeAttr
0003be70: 69 62 75 74 65 28 22 61 72 69 61 2d 63 75 72 72 ibute("aria-curr
0003be80: 65 6e 74 22 29 3b 63 6f 6e 73 74 20 6e 3d 51 2e ent");const n=Q.
0003be90: 66 69 6e 64 4f 6e 65 28 60 5b 64 61 74 61 2d 62 findOne(`[data-b
0003bea0: 73 2d 73 6c 69 64 65 2d 74 6f 3d 22 24 7b 65 7d s-slide-to="${e}
0003beb0: 22 5d 60 2c 74 68 69 73 2e 5f 69 6e 64 69 63 61 "]`,this._indica
0003bec0: 74 6f 72 73 45 6c 65 6d 65 6e 74 29 3b 6e 26 26 torsElement);n&&
0003bed0: 28 6e 2e 63 6c 61 73 73 4c 69 73 74 2e 61 64 64 (n.classList.add
0003bee0: 28 47 65 29 2c 6e 2e 73 65 74 41 74 74 72 69 62 (Ge),n.setAttrib
0003bef0: 75 74 65 28 22 61 72 69 61 2d 63 75 72 72 65 6e ute("aria-curren
0003bf00: 74 22 2c 22 74 72 75 65 22 29 29 7d 5f 75 70 64 t","true"))}_upd
0003bf10: 61 74 65 49 6e 74 65 72 76 61 6c 28 29 7b 63 6f ateInterval(){co
0003bf20: 6e 73 74 20 65 3d 74 68 69 73 2e 5f 61 63 74 69 nst e=this._acti
0003bf30: 76 65 45 6c 65 6d 65 6e 74 7c 7c 74 68 69 73 2e veElement||this.
0003bf40: 5f 67 65 74 41 63 74 69 76 65 28 29 3b 69 66 28 _getActive();if(
0003bf50: 21 65 29 72 65 74 75 72 6e 3b 63 6f 6e 73 74 20 !e)return;const
0003bf60: 74 3d 4e 75 6d 62 65 72 2e 70 61 72 73 65 49 6e t=Number.parseIn
0003bf70: 74 28 65 2e 67 65 74 41 74 74 72 69 62 75 74 65 t(e.getAttribute
0003bf80: 28 22 64 61 74 61 2d 62 73 2d 69 6e 74 65 72 76 ("data-bs-interv
0003bf90: 61 6c 22 29 2c 31 30 29 3b 74 68 69 73 2e 5f 63 al"),10);this._c
0003bfa0: 6f 6e 66 69 67 2e 69 6e 74 65 72 76 61 6c 3d 74 onfig.interval=t
0003bfb0: 7c 7c 74 68 69 73 2e 5f 63 6f 6e 66 69 67 2e 64 ||this._config.d
0003bfc0: 65 66 61 75 6c 74 49 6e 74 65 72 76 61 6c 7d 5f efaultInterval}_
0003bfd0: 73 6c 69 64 65 28 65 2c 74 3d 6e 75 6c 6c 29 7b slide(e,t=null){
0003bfe0: 69 66 28 74 68 69 73 2e 5f 69 73 53 6c 69 64 69 if(this._isSlidi
0003bff0: 6e 67 29 72 65 74 75 72 6e 3b 63 6f 6e 73 74 20 ng)return;const
0003c000: 6e 3d 74 68 69 73 2e 5f 67 65 74 41 63 74 69 76 n=this._getActiv
0003c010: 65 28 29 2c 72 3d 65 3d 3d 3d 6b 65 2c 6f 3d 74 e(),r=e===ke,o=t
0003c020: 7c 7c 54 28 74 68 69 73 2e 5f 67 65 74 49 74 65 ||T(this._getIte
0003c030: 6d 73 28 29 2c 6e 2c 72 2c 74 68 69 73 2e 5f 63 ms(),n,r,this._c
0003c040: 6f 6e 66 69 67 2e 77 72 61 70 29 3b 69 66 28 6f onfig.wrap);if(o
0003c050: 3d 3d 3d 6e 29 72 65 74 75 72 6e 3b 63 6f 6e 73 ===n)return;cons
0003c060: 74 20 69 3d 74 68 69 73 2e 5f 67 65 74 49 74 65 t i=this._getIte
0003c070: 6d 49 6e 64 65 78 28 6f 29 2c 61 3d 74 3d 3e 47 mIndex(o),a=t=>G
0003c080: 2e 74 72 69 67 67 65 72 28 74 68 69 73 2e 5f 65 .trigger(this._e
0003c090: 6c 65 6d 65 6e 74 2c 74 2c 7b 72 65 6c 61 74 65 lement,t,{relate
0003c0a0: 64 54 61 72 67 65 74 3a 6f 2c 64 69 72 65 63 74 dTarget:o,direct
0003c0b0: 69 6f 6e 3a 74 68 69 73 2e 5f 6f 72 64 65 72 54 ion:this._orderT
0003c0c0: 6f 44 69 72 65 63 74 69 6f 6e 28 65 29 2c 66 72 oDirection(e),fr
0003c0d0: 6f 6d 3a 74 68 69 73 2e 5f 67 65 74 49 74 65 6d om:this._getItem
0003c0e0: 49 6e 64 65 78 28 6e 29 2c 74 6f 3a 69 7d 29 3b Index(n),to:i});
0003c0f0: 69 66 28 61 28 4d 65 29 2e 64 65 66 61 75 6c 74 if(a(Me).default
0003c100: 50 72 65 76 65 6e 74 65 64 29 72 65 74 75 72 6e Prevented)return
0003c110: 3b 69 66 28 21 6e 7c 7c 21 6f 29 72 65 74 75 72 ;if(!n||!o)retur
0003c120: 6e 3b 63 6f 6e 73 74 20 73 3d 42 6f 6f 6c 65 61 n;const s=Boolea
0003c130: 6e 28 74 68 69 73 2e 5f 69 6e 74 65 72 76 61 6c n(this._interval
0003c140: 29 3b 74 68 69 73 2e 70 61 75 73 65 28 29 2c 74 );this.pause(),t
0003c150: 68 69 73 2e 5f 69 73 53 6c 69 64 69 6e 67 3d 21 his._isSliding=!
0003c160: 30 2c 74 68 69 73 2e 5f 73 65 74 41 63 74 69 76 0,this._setActiv
0003c170: 65 49 6e 64 69 63 61 74 6f 72 45 6c 65 6d 65 6e eIndicatorElemen
0003c180: 74 28 69 29 2c 74 68 69 73 2e 5f 61 63 74 69 76 t(i),this._activ
0003c190: 65 45 6c 65 6d 65 6e 74 3d 6f 3b 63 6f 6e 73 74 eElement=o;const
0003c1a0: 20 6c 3d 72 3f 71 65 3a 56 65 2c 63 3d 72 3f 57 l=r?qe:Ve,c=r?W
0003c1b0: 65 3a 59 65 3b 6f 2e 63 6c 61 73 73 4c 69 73 74 e:Ye;o.classList
0003c1c0: 2e 61 64 64 28 63 29 2c 67 28 6f 29 2c 6e 2e 63 .add(c),g(o),n.c
0003c1d0: 6c 61 73 73 4c 69 73 74 2e 61 64 64 28 6c 29 2c lassList.add(l),
0003c1e0: 6f 2e 63 6c 61 73 73 4c 69 73 74 2e 61 64 64 28 o.classList.add(
0003c1f0: 6c 29 3b 63 6f 6e 73 74 20 75 3d 28 29 3d 3e 7b l);const u=()=>{
0003c200: 6f 2e 63 6c 61 73 73 4c 69 73 74 2e 72 65 6d 6f o.classList.remo
0003c210: 76 65 28 6c 2c 63 29 2c 6f 2e 63 6c 61 73 73 4c ve(l,c),o.classL
0003c220: 69 73 74 2e 61 64 64 28 47 65 29 2c 6e 2e 63 6c ist.add(Ge),n.cl
0003c230: 61 73 73 4c 69 73 74 2e 72 65 6d 6f 76 65 28 47 assList.remove(G
0003c240: 65 2c 63 2c 6c 29 2c 74 68 69 73 2e 5f 69 73 53 e,c,l),this._isS
0003c250: 6c 69 64 69 6e 67 3d 21 31 2c 61 28 50 65 29 7d liding=!1,a(Pe)}
0003c260: 3b 74 68 69 73 2e 5f 71 75 65 75 65 43 61 6c 6c ;this._queueCall
0003c270: 62 61 63 6b 28 75 2c 6e 2c 74 68 69 73 2e 5f 69 back(u,n,this._i
0003c280: 73 41 6e 69 6d 61 74 65 64 28 29 29 2c 73 26 26 sAnimated()),s&&
0003c290: 74 68 69 73 2e 63 79 63 6c 65 28 29 7d 5f 69 73 this.cycle()}_is
0003c2a0: 41 6e 69 6d 61 74 65 64 28 29 7b 72 65 74 75 72 Animated(){retur
0003c2b0: 6e 20 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e n this._element.
0003c2c0: 63 6c 61 73 73 4c 69 73 74 2e 63 6f 6e 74 61 69 classList.contai
0003c2d0: 6e 73 28 48 65 29 7d 5f 67 65 74 41 63 74 69 76 ns(He)}_getActiv
0003c2e0: 65 28 29 7b 72 65 74 75 72 6e 20 51 2e 66 69 6e e(){return Q.fin
0003c2f0: 64 4f 6e 65 28 58 65 2c 74 68 69 73 2e 5f 65 6c dOne(Xe,this._el
0003c300: 65 6d 65 6e 74 29 7d 5f 67 65 74 49 74 65 6d 73 ement)}_getItems
0003c310: 28 29 7b 72 65 74 75 72 6e 20 51 2e 66 69 6e 64 (){return Q.find
0003c320: 28 5a 65 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 6e (Ze,this._elemen
0003c330: 74 29 7d 5f 63 6c 65 61 72 49 6e 74 65 72 76 61 t)}_clearInterva
0003c340: 6c 28 29 7b 74 68 69 73 2e 5f 69 6e 74 65 72 76 l(){this._interv
0003c350: 61 6c 26 26 28 63 6c 65 61 72 49 6e 74 65 72 76 al&&(clearInterv
0003c360: 61 6c 28 74 68 69 73 2e 5f 69 6e 74 65 72 76 61 al(this._interva
0003c370: 6c 29 2c 74 68 69 73 2e 5f 69 6e 74 65 72 76 61 l),this._interva
0003c380: 6c 3d 6e 75 6c 6c 29 7d 5f 64 69 72 65 63 74 69 l=null)}_directi
0003c390: 6f 6e 54 6f 4f 72 64 65 72 28 65 29 7b 72 65 74 onToOrder(e){ret
0003c3a0: 75 72 6e 20 45 28 29 3f 65 3d 3d 3d 4e 65 3f 4f urn E()?e===Ne?O
0003c3b0: 65 3a 6b 65 3a 65 3d 3d 3d 4e 65 3f 6b 65 3a 4f e:ke:e===Ne?ke:O
0003c3c0: 65 7d 5f 6f 72 64 65 72 54 6f 44 69 72 65 63 74 e}_orderToDirect
0003c3d0: 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e 20 45 28 ion(e){return E(
0003c3e0: 29 3f 65 3d 3d 3d 4f 65 3f 4e 65 3a 49 65 3a 65 )?e===Oe?Ne:Ie:e
0003c3f0: 3d 3d 3d 4f 65 3f 49 65 3a 4e 65 7d 73 74 61 74 ===Oe?Ie:Ne}stat
0003c400: 69 63 20 6a 51 75 65 72 79 49 6e 74 65 72 66 61 ic jQueryInterfa
0003c410: 63 65 28 65 29 7b 72 65 74 75 72 6e 20 74 68 69 ce(e){return thi
0003c420: 73 2e 65 61 63 68 28 28 66 75 6e 63 74 69 6f 6e s.each((function
0003c430: 28 29 7b 63 6f 6e 73 74 20 74 3d 6f 74 2e 67 65 (){const t=ot.ge
0003c440: 74 4f 72 43 72 65 61 74 65 49 6e 73 74 61 6e 63 tOrCreateInstanc
0003c450: 65 28 74 68 69 73 2c 65 29 3b 69 66 28 22 6e 75 e(this,e);if("nu
0003c460: 6d 62 65 72 22 21 3d 74 79 70 65 6f 66 20 65 29 mber"!=typeof e)
0003c470: 7b 69 66 28 22 73 74 72 69 6e 67 22 3d 3d 74 79 {if("string"==ty
0003c480: 70 65 6f 66 20 65 29 7b 69 66 28 76 6f 69 64 20 peof e){if(void
0003c490: 30 3d 3d 3d 74 5b 65 5d 7c 7c 65 2e 73 74 61 72 0===t[e]||e.star
0003c4a0: 74 73 57 69 74 68 28 22 5f 22 29 7c 7c 22 63 6f tsWith("_")||"co
0003c4b0: 6e 73 74 72 75 63 74 6f 72 22 3d 3d 3d 65 29 74 nstructor"===e)t
0003c4c0: 68 72 6f 77 20 6e 65 77 20 54 79 70 65 45 72 72 hrow new TypeErr
0003c4d0: 6f 72 28 60 4e 6f 20 6d 65 74 68 6f 64 20 6e 61 or(`No method na
0003c4e0: 6d 65 64 20 22 24 7b 65 7d 22 60 29 3b 74 5b 65 med "${e}"`);t[e
0003c4f0: 5d 28 29 7d 7d 65 6c 73 65 20 74 2e 74 6f 28 65 ]()}}else t.to(e
0003c500: 29 7d 29 29 7d 7d 47 2e 6f 6e 28 64 6f 63 75 6d )}))}}G.on(docum
0003c510: 65 6e 74 2c 6a 65 2c 24 65 2c 28 66 75 6e 63 74 ent,je,$e,(funct
0003c520: 69 6f 6e 28 65 29 7b 63 6f 6e 73 74 20 74 3d 51 ion(e){const t=Q
0003c530: 2e 67 65 74 45 6c 65 6d 65 6e 74 46 72 6f 6d 53 .getElementFromS
0003c540: 65 6c 65 63 74 6f 72 28 74 68 69 73 29 3b 69 66 elector(this);if
0003c550: 28 21 74 7c 7c 21 74 2e 63 6c 61 73 73 4c 69 73 (!t||!t.classLis
0003c560: 74 2e 63 6f 6e 74 61 69 6e 73 28 7a 65 29 29 72 t.contains(ze))r
0003c570: 65 74 75 72 6e 3b 65 2e 70 72 65 76 65 6e 74 44 eturn;e.preventD
0003c580: 65 66 61 75 6c 74 28 29 3b 63 6f 6e 73 74 20 6e efault();const n
0003c590: 3d 6f 74 2e 67 65 74 4f 72 43 72 65 61 74 65 49 =ot.getOrCreateI
0003c5a0: 6e 73 74 61 6e 63 65 28 74 29 2c 72 3d 74 68 69 nstance(t),r=thi
0003c5b0: 73 2e 67 65 74 41 74 74 72 69 62 75 74 65 28 22 s.getAttribute("
0003c5c0: 64 61 74 61 2d 62 73 2d 73 6c 69 64 65 2d 74 6f data-bs-slide-to
0003c5d0: 22 29 3b 72 65 74 75 72 6e 20 72 3f 28 6e 2e 74 ");return r?(n.t
0003c5e0: 6f 28 72 29 2c 76 6f 69 64 20 6e 2e 5f 6d 61 79 o(r),void n._may
0003c5f0: 62 65 45 6e 61 62 6c 65 43 79 63 6c 65 28 29 29 beEnableCycle())
0003c600: 3a 22 6e 65 78 74 22 3d 3d 3d 57 2e 67 65 74 44 :"next"===W.getD
0003c610: 61 74 61 41 74 74 72 69 62 75 74 65 28 74 68 69 ataAttribute(thi
0003c620: 73 2c 22 73 6c 69 64 65 22 29 3f 28 6e 2e 6e 65 s,"slide")?(n.ne
0003c630: 78 74 28 29 2c 76 6f 69 64 20 6e 2e 5f 6d 61 79 xt(),void n._may
0003c640: 62 65 45 6e 61 62 6c 65 43 79 63 6c 65 28 29 29 beEnableCycle())
0003c650: 3a 28 6e 2e 70 72 65 76 28 29 2c 76 6f 69 64 20 :(n.prev(),void
0003c660: 6e 2e 5f 6d 61 79 62 65 45 6e 61 62 6c 65 43 79 n._maybeEnableCy
0003c670: 63 6c 65 28 29 29 7d 29 29 2c 47 2e 6f 6e 28 77 cle())})),G.on(w
0003c680: 69 6e 64 6f 77 2c 42 65 2c 28 28 29 3d 3e 7b 63 indow,Be,(()=>{c
0003c690: 6f 6e 73 74 20 65 3d 51 2e 66 69 6e 64 28 65 74 onst e=Q.find(et
0003c6a0: 29 3b 66 6f 72 28 63 6f 6e 73 74 20 74 20 6f 66 );for(const t of
0003c6b0: 20 65 29 6f 74 2e 67 65 74 4f 72 43 72 65 61 74 e)ot.getOrCreat
0003c6c0: 65 49 6e 73 74 61 6e 63 65 28 74 29 7d 29 29 2c eInstance(t)})),
0003c6d0: 5f 28 6f 74 29 3b 63 6f 6e 73 74 20 69 74 3d 22 _(ot);const it="
0003c6e0: 63 6f 6c 6c 61 70 73 65 22 2c 61 74 3d 22 2e 62 collapse",at=".b
0003c6f0: 73 2e 63 6f 6c 6c 61 70 73 65 22 2c 73 74 3d 60 s.collapse",st=`
0003c700: 73 68 6f 77 24 7b 61 74 7d 60 2c 6c 74 3d 60 73 show${at}`,lt=`s
0003c710: 68 6f 77 6e 24 7b 61 74 7d 60 2c 63 74 3d 60 68 hown${at}`,ct=`h
0003c720: 69 64 65 24 7b 61 74 7d 60 2c 75 74 3d 60 68 69 ide${at}`,ut=`hi
0003c730: 64 64 65 6e 24 7b 61 74 7d 60 2c 64 74 3d 60 63 dden${at}`,dt=`c
0003c740: 6c 69 63 6b 24 7b 61 74 7d 2e 64 61 74 61 2d 61 lick${at}.data-a
0003c750: 70 69 60 2c 70 74 3d 22 73 68 6f 77 22 2c 66 74 pi`,pt="show",ft
0003c760: 3d 22 63 6f 6c 6c 61 70 73 65 22 2c 6d 74 3d 22 ="collapse",mt="
0003c770: 63 6f 6c 6c 61 70 73 69 6e 67 22 2c 68 74 3d 22 collapsing",ht="
0003c780: 63 6f 6c 6c 61 70 73 65 64 22 2c 67 74 3d 60 3a collapsed",gt=`:
0003c790: 73 63 6f 70 65 20 2e 24 7b 66 74 7d 20 2e 24 7b scope .${ft} .${
0003c7a0: 66 74 7d 60 2c 62 74 3d 22 63 6f 6c 6c 61 70 73 ft}`,bt="collaps
0003c7b0: 65 2d 68 6f 72 69 7a 6f 6e 74 61 6c 22 2c 76 74 e-horizontal",vt
0003c7c0: 3d 22 77 69 64 74 68 22 2c 79 74 3d 22 68 65 69 ="width",yt="hei
0003c7d0: 67 68 74 22 2c 45 74 3d 22 2e 63 6f 6c 6c 61 70 ght",Et=".collap
0003c7e0: 73 65 2e 73 68 6f 77 2c 20 2e 63 6f 6c 6c 61 70 se.show, .collap
0003c7f0: 73 65 2e 63 6f 6c 6c 61 70 73 69 6e 67 22 2c 5f se.collapsing",_
0003c800: 74 3d 27 5b 64 61 74 61 2d 62 73 2d 74 6f 67 67 t='[data-bs-togg
0003c810: 6c 65 3d 22 63 6f 6c 6c 61 70 73 65 22 5d 27 2c le="collapse"]',
0003c820: 53 74 3d 7b 70 61 72 65 6e 74 3a 6e 75 6c 6c 2c St={parent:null,
0003c830: 74 6f 67 67 6c 65 3a 21 30 7d 2c 77 74 3d 7b 70 toggle:!0},wt={p
0003c840: 61 72 65 6e 74 3a 22 28 6e 75 6c 6c 7c 65 6c 65 arent:"(null|ele
0003c850: 6d 65 6e 74 29 22 2c 74 6f 67 67 6c 65 3a 22 62 ment)",toggle:"b
0003c860: 6f 6f 6c 65 61 6e 22 7d 3b 63 6c 61 73 73 20 54 oolean"};class T
0003c870: 74 20 65 78 74 65 6e 64 73 20 5a 7b 63 6f 6e 73 t extends Z{cons
0003c880: 74 72 75 63 74 6f 72 28 65 2c 74 29 7b 73 75 70 tructor(e,t){sup
0003c890: 65 72 28 65 2c 74 29 2c 74 68 69 73 2e 5f 69 73 er(e,t),this._is
0003c8a0: 54 72 61 6e 73 69 74 69 6f 6e 69 6e 67 3d 21 31 Transitioning=!1
0003c8b0: 2c 74 68 69 73 2e 5f 74 72 69 67 67 65 72 41 72 ,this._triggerAr
0003c8c0: 72 61 79 3d 5b 5d 3b 63 6f 6e 73 74 20 6e 3d 51 ray=[];const n=Q
0003c8d0: 2e 66 69 6e 64 28 5f 74 29 3b 66 6f 72 28 63 6f .find(_t);for(co
0003c8e0: 6e 73 74 20 65 20 6f 66 20 6e 29 7b 63 6f 6e 73 nst e of n){cons
0003c8f0: 74 20 74 3d 51 2e 67 65 74 53 65 6c 65 63 74 6f t t=Q.getSelecto
0003c900: 72 46 72 6f 6d 45 6c 65 6d 65 6e 74 28 65 29 2c rFromElement(e),
0003c910: 6e 3d 51 2e 66 69 6e 64 28 74 29 2e 66 69 6c 74 n=Q.find(t).filt
0003c920: 65 72 28 28 65 3d 3e 65 3d 3d 3d 74 68 69 73 2e er((e=>e===this.
0003c930: 5f 65 6c 65 6d 65 6e 74 29 29 3b 6e 75 6c 6c 21 _element));null!
0003c940: 3d 3d 74 26 26 6e 2e 6c 65 6e 67 74 68 26 26 74 ==t&&n.length&&t
0003c950: 68 69 73 2e 5f 74 72 69 67 67 65 72 41 72 72 61 his._triggerArra
0003c960: 79 2e 70 75 73 68 28 65 29 7d 74 68 69 73 2e 5f y.push(e)}this._
0003c970: 69 6e 69 74 69 61 6c 69 7a 65 43 68 69 6c 64 72 initializeChildr
0003c980: 65 6e 28 29 2c 74 68 69 73 2e 5f 63 6f 6e 66 69 en(),this._confi
0003c990: 67 2e 70 61 72 65 6e 74 7c 7c 74 68 69 73 2e 5f g.parent||this._
0003c9a0: 61 64 64 41 72 69 61 41 6e 64 43 6f 6c 6c 61 70 addAriaAndCollap
0003c9b0: 73 65 64 43 6c 61 73 73 28 74 68 69 73 2e 5f 74 sedClass(this._t
0003c9c0: 72 69 67 67 65 72 41 72 72 61 79 2c 74 68 69 73 riggerArray,this
0003c9d0: 2e 5f 69 73 53 68 6f 77 6e 28 29 29 2c 74 68 69 ._isShown()),thi
0003c9e0: 73 2e 5f 63 6f 6e 66 69 67 2e 74 6f 67 67 6c 65 s._config.toggle
0003c9f0: 26 26 74 68 69 73 2e 74 6f 67 67 6c 65 28 29 7d &&this.toggle()}
0003ca00: 73 74 61 74 69 63 20 67 65 74 20 44 65 66 61 75 static get Defau
0003ca10: 6c 74 28 29 7b 72 65 74 75 72 6e 20 53 74 7d 73 lt(){return St}s
0003ca20: 74 61 74 69 63 20 67 65 74 20 44 65 66 61 75 6c tatic get Defaul
0003ca30: 74 54 79 70 65 28 29 7b 72 65 74 75 72 6e 20 77 tType(){return w
0003ca40: 74 7d 73 74 61 74 69 63 20 67 65 74 20 4e 41 4d t}static get NAM
0003ca50: 45 28 29 7b 72 65 74 75 72 6e 20 69 74 7d 74 6f E(){return it}to
0003ca60: 67 67 6c 65 28 29 7b 74 68 69 73 2e 5f 69 73 53 ggle(){this._isS
0003ca70: 68 6f 77 6e 28 29 3f 74 68 69 73 2e 68 69 64 65 hown()?this.hide
0003ca80: 28 29 3a 74 68 69 73 2e 73 68 6f 77 28 29 7d 73 ():this.show()}s
0003ca90: 68 6f 77 28 29 7b 69 66 28 74 68 69 73 2e 5f 69 how(){if(this._i
0003caa0: 73 54 72 61 6e 73 69 74 69 6f 6e 69 6e 67 7c 7c sTransitioning||
0003cab0: 74 68 69 73 2e 5f 69 73 53 68 6f 77 6e 28 29 29 this._isShown())
0003cac0: 72 65 74 75 72 6e 3b 6c 65 74 20 65 3d 5b 5d 3b return;let e=[];
0003cad0: 69 66 28 74 68 69 73 2e 5f 63 6f 6e 66 69 67 2e if(this._config.
0003cae0: 70 61 72 65 6e 74 26 26 28 65 3d 74 68 69 73 2e parent&&(e=this.
0003caf0: 5f 67 65 74 46 69 72 73 74 4c 65 76 65 6c 43 68 _getFirstLevelCh
0003cb00: 69 6c 64 72 65 6e 28 45 74 29 2e 66 69 6c 74 65 ildren(Et).filte
0003cb10: 72 28 28 65 3d 3e 65 21 3d 3d 74 68 69 73 2e 5f r((e=>e!==this._
0003cb20: 65 6c 65 6d 65 6e 74 29 29 2e 6d 61 70 28 28 65 element)).map((e
0003cb30: 3d 3e 54 74 2e 67 65 74 4f 72 43 72 65 61 74 65 =>Tt.getOrCreate
0003cb40: 49 6e 73 74 61 6e 63 65 28 65 2c 7b 74 6f 67 67 Instance(e,{togg
0003cb50: 6c 65 3a 21 31 7d 29 29 29 29 2c 65 2e 6c 65 6e le:!1})))),e.len
0003cb60: 67 74 68 26 26 65 5b 30 5d 2e 5f 69 73 54 72 61 gth&&e[0]._isTra
0003cb70: 6e 73 69 74 69 6f 6e 69 6e 67 29 72 65 74 75 72 nsitioning)retur
0003cb80: 6e 3b 69 66 28 47 2e 74 72 69 67 67 65 72 28 74 n;if(G.trigger(t
0003cb90: 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c 73 74 29 his._element,st)
0003cba0: 2e 64 65 66 61 75 6c 74 50 72 65 76 65 6e 74 65 .defaultPrevente
0003cbb0: 64 29 72 65 74 75 72 6e 3b 66 6f 72 28 63 6f 6e d)return;for(con
0003cbc0: 73 74 20 74 20 6f 66 20 65 29 74 2e 68 69 64 65 st t of e)t.hide
0003cbd0: 28 29 3b 63 6f 6e 73 74 20 74 3d 74 68 69 73 2e ();const t=this.
0003cbe0: 5f 67 65 74 44 69 6d 65 6e 73 69 6f 6e 28 29 3b _getDimension();
0003cbf0: 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 63 6c this._element.cl
0003cc00: 61 73 73 4c 69 73 74 2e 72 65 6d 6f 76 65 28 66 assList.remove(f
0003cc10: 74 29 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 t),this._element
0003cc20: 2e 63 6c 61 73 73 4c 69 73 74 2e 61 64 64 28 6d .classList.add(m
0003cc30: 74 29 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 t),this._element
0003cc40: 2e 73 74 79 6c 65 5b 74 5d 3d 30 2c 74 68 69 73 .style[t]=0,this
0003cc50: 2e 5f 61 64 64 41 72 69 61 41 6e 64 43 6f 6c 6c ._addAriaAndColl
0003cc60: 61 70 73 65 64 43 6c 61 73 73 28 74 68 69 73 2e apsedClass(this.
0003cc70: 5f 74 72 69 67 67 65 72 41 72 72 61 79 2c 21 30 _triggerArray,!0
0003cc80: 29 2c 74 68 69 73 2e 5f 69 73 54 72 61 6e 73 69 ),this._isTransi
0003cc90: 74 69 6f 6e 69 6e 67 3d 21 30 3b 63 6f 6e 73 74 tioning=!0;const
0003cca0: 20 6e 3d 28 29 3d 3e 7b 74 68 69 73 2e 5f 69 73 n=()=>{this._is
0003ccb0: 54 72 61 6e 73 69 74 69 6f 6e 69 6e 67 3d 21 31 Transitioning=!1
0003ccc0: 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 63 ,this._element.c
0003ccd0: 6c 61 73 73 4c 69 73 74 2e 72 65 6d 6f 76 65 28 lassList.remove(
0003cce0: 6d 74 29 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 6e mt),this._elemen
0003ccf0: 74 2e 63 6c 61 73 73 4c 69 73 74 2e 61 64 64 28 t.classList.add(
0003cd00: 66 74 2c 70 74 29 2c 74 68 69 73 2e 5f 65 6c 65 ft,pt),this._ele
0003cd10: 6d 65 6e 74 2e 73 74 79 6c 65 5b 74 5d 3d 22 22 ment.style[t]=""
0003cd20: 2c 47 2e 74 72 69 67 67 65 72 28 74 68 69 73 2e ,G.trigger(this.
0003cd30: 5f 65 6c 65 6d 65 6e 74 2c 6c 74 29 7d 2c 72 3d _element,lt)},r=
0003cd40: 60 73 63 72 6f 6c 6c 24 7b 74 5b 30 5d 2e 74 6f `scroll${t[0].to
0003cd50: 55 70 70 65 72 43 61 73 65 28 29 2b 74 2e 73 6c UpperCase()+t.sl
0003cd60: 69 63 65 28 31 29 7d 60 3b 74 68 69 73 2e 5f 71 ice(1)}`;this._q
0003cd70: 75 65 75 65 43 61 6c 6c 62 61 63 6b 28 6e 2c 74 ueueCallback(n,t
0003cd80: 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c 21 30 29 his._element,!0)
0003cd90: 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 73 ,this._element.s
0003cda0: 74 79 6c 65 5b 74 5d 3d 60 24 7b 74 68 69 73 2e tyle[t]=`${this.
0003cdb0: 5f 65 6c 65 6d 65 6e 74 5b 72 5d 7d 70 78 60 7d _element[r]}px`}
0003cdc0: 68 69 64 65 28 29 7b 69 66 28 74 68 69 73 2e 5f hide(){if(this._
0003cdd0: 69 73 54 72 61 6e 73 69 74 69 6f 6e 69 6e 67 7c isTransitioning|
0003cde0: 7c 21 74 68 69 73 2e 5f 69 73 53 68 6f 77 6e 28 |!this._isShown(
0003cdf0: 29 29 72 65 74 75 72 6e 3b 69 66 28 47 2e 74 72 ))return;if(G.tr
0003ce00: 69 67 67 65 72 28 74 68 69 73 2e 5f 65 6c 65 6d igger(this._elem
0003ce10: 65 6e 74 2c 63 74 29 2e 64 65 66 61 75 6c 74 50 ent,ct).defaultP
0003ce20: 72 65 76 65 6e 74 65 64 29 72 65 74 75 72 6e 3b revented)return;
0003ce30: 63 6f 6e 73 74 20 65 3d 74 68 69 73 2e 5f 67 65 const e=this._ge
0003ce40: 74 44 69 6d 65 6e 73 69 6f 6e 28 29 3b 74 68 69 tDimension();thi
0003ce50: 73 2e 5f 65 6c 65 6d 65 6e 74 2e 73 74 79 6c 65 s._element.style
0003ce60: 5b 65 5d 3d 60 24 7b 74 68 69 73 2e 5f 65 6c 65 [e]=`${this._ele
0003ce70: 6d 65 6e 74 2e 67 65 74 42 6f 75 6e 64 69 6e 67 ment.getBounding
0003ce80: 43 6c 69 65 6e 74 52 65 63 74 28 29 5b 65 5d 7d ClientRect()[e]}
0003ce90: 70 78 60 2c 67 28 74 68 69 73 2e 5f 65 6c 65 6d px`,g(this._elem
0003cea0: 65 6e 74 29 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 ent),this._eleme
0003ceb0: 6e 74 2e 63 6c 61 73 73 4c 69 73 74 2e 61 64 64 nt.classList.add
0003cec0: 28 6d 74 29 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 (mt),this._eleme
0003ced0: 6e 74 2e 63 6c 61 73 73 4c 69 73 74 2e 72 65 6d nt.classList.rem
0003cee0: 6f 76 65 28 66 74 2c 70 74 29 3b 66 6f 72 28 63 ove(ft,pt);for(c
0003cef0: 6f 6e 73 74 20 65 20 6f 66 20 74 68 69 73 2e 5f onst e of this._
0003cf00: 74 72 69 67 67 65 72 41 72 72 61 79 29 7b 63 6f triggerArray){co
0003cf10: 6e 73 74 20 74 3d 51 2e 67 65 74 45 6c 65 6d 65 nst t=Q.getEleme
0003cf20: 6e 74 46 72 6f 6d 53 65 6c 65 63 74 6f 72 28 65 ntFromSelector(e
0003cf30: 29 3b 74 26 26 21 74 68 69 73 2e 5f 69 73 53 68 );t&&!this._isSh
0003cf40: 6f 77 6e 28 74 29 26 26 74 68 69 73 2e 5f 61 64 own(t)&&this._ad
0003cf50: 64 41 72 69 61 41 6e 64 43 6f 6c 6c 61 70 73 65 dAriaAndCollapse
0003cf60: 64 43 6c 61 73 73 28 5b 65 5d 2c 21 31 29 7d 74 dClass([e],!1)}t
0003cf70: 68 69 73 2e 5f 69 73 54 72 61 6e 73 69 74 69 6f his._isTransitio
0003cf80: 6e 69 6e 67 3d 21 30 3b 63 6f 6e 73 74 20 74 3d ning=!0;const t=
0003cf90: 28 29 3d 3e 7b 74 68 69 73 2e 5f 69 73 54 72 61 ()=>{this._isTra
0003cfa0: 6e 73 69 74 69 6f 6e 69 6e 67 3d 21 31 2c 74 68 nsitioning=!1,th
0003cfb0: 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 63 6c 61 73 is._element.clas
0003cfc0: 73 4c 69 73 74 2e 72 65 6d 6f 76 65 28 6d 74 29 sList.remove(mt)
0003cfd0: 2c 74 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 63 ,this._element.c
0003cfe0: 6c 61 73 73 4c 69 73 74 2e 61 64 64 28 66 74 29 lassList.add(ft)
0003cff0: 2c 47 2e 74 72 69 67 67 65 72 28 74 68 69 73 2e ,G.trigger(this.
0003d000: 5f 65 6c 65 6d 65 6e 74 2c 75 74 29 7d 3b 74 68 _element,ut)};th
0003d010: 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 73 74 79 6c is._element.styl
0003d020: 65 5b 65 5d 3d 22 22 2c 74 68 69 73 2e 5f 71 75 e[e]="",this._qu
0003d030: 65 75 65 43 61 6c 6c 62 61 63 6b 28 74 2c 74 68 eueCallback(t,th
0003d040: 69 73 2e 5f 65 6c 65 6d 65 6e 74 2c 21 30 29 7d is._element,!0)}
0003d050: 5f 69 73 53 68 6f 77 6e 28 65 3d 74 68 69 73 2e _isShown(e=this.
0003d060: 5f 65 6c 65 6d 65 6e 74 29 7b 72 65 74 75 72 6e _element){return
0003d070: 20 65 2e 63 6c 61 73 73 4c 69 73 74 2e 63 6f 6e e.classList.con
0003d080: 74 61 69 6e 73 28 70 74 29 7d 5f 63 6f 6e 66 69 tains(pt)}_confi
0003d090: 67 41 66 74 65 72 4d 65 72 67 65 28 65 29 7b 72 gAfterMerge(e){r
0003d0a0: 65 74 75 72 6e 20 65 2e 74 6f 67 67 6c 65 3d 42 eturn e.toggle=B
0003d0b0: 6f 6f 6c 65 61 6e 28 65 2e 74 6f 67 67 6c 65 29 oolean(e.toggle)
0003d0c0: 2c 65 2e 70 61 72 65 6e 74 3d 64 28 65 2e 70 61 ,e.parent=d(e.pa
0003d0d0: 72 65 6e 74 29 2c 65 7d 5f 67 65 74 44 69 6d 65 rent),e}_getDime
0003d0e0: 6e 73 69 6f 6e 28 29 7b 72 65 74 75 72 6e 20 74 nsion(){return t
0003d0f0: 68 69 73 2e 5f 65 6c 65 6d 65 6e 74 2e 63 6c 61 his._element.cla
0003d100: 73 73 4c 69 73 74 2e 63 6f 6e 74 61 69 6e 73 28 ssList.contains(
0003d110: 62 74 29 3f 76 74 3a 79 74 7d 5f 69 6e 69 74 69 bt)?vt:yt}_initi
0003d120: 61 6c 69 7a 65 43 68 69 6c 64 72 65 6e 28 29 7b alizeChildren(){
0003d130: 69 66 28 21 74 68 69 73 2e 5f 63 6f 6e 66 69 67 if(!this._config
0003d140: 2e 70 61 72 65 6e 74 29 72 65 74 75 72 6e 3b 63 .parent)return;c
0003d150: 6f 6e 73 74 20 65 3d 74 68 69 73 2e 5f 67 65 74 onst e=this._get
0003d160: 46 69 72 73 74 4c 65 76 65 6c 43 68 69 6c 64 72 FirstLevelChildr
0003d170: 65 6e 28 5f 74 29 3b 66 6f 72 28 63 6f 6e 73 74 en(_t);for(const
0003d180: 20 74 20 6f 66 20 65 29 7b 63 6f 6e 73 74 20 65 t of e){const e
0003d190: 3d 51 2e 67 65 74 45 6c 65 6d 65 6e 74 46 72 6f =Q.getElementFro
0003d1a0: 6d 53 65 6c 65 63 74 6f 72 28 74 29 3b 65 26 26 mSelector(t);e&&
0003d1b0: 74 68 69 73 2e 5f 61 64 64 41 72 69 61 41 6e 64 this._addAriaAnd
0003d1c0: 43 6f 6c 6c 61 70 73 65 64 43 6c 61 73 73 28 5b CollapsedClass([
0003d1d0: 74 5d 2c 74 68 69 73 2e 5f 69 73 53 68 6f 77 6e t],this._isShown
0003d1e0: 28 65 29 29 7d 7d 5f 67 65 74 46 69 72 73 74 4c (e))}}_getFirstL
0003d1f0: 65 76 65 6c 43 68 69 6c 64 72 65 6e 28 65 29 7b evelChildren(e){
0003d200: 63 6f 6e 73 74 20 74 3d 51 2e 66 69 6e 64 28 67 const t=Q.find(g
0003d210: 74 2c 74 68 69 73 2e 5f 63 6f 6e 66 69 67 2e 70 t,this._config.p
0003d220: 61 72 65 6e 74 29 3b 72 65 74 75 72 6e 20 51 2e arent);return Q.
0003d230: 66 69 6e 64 28 65 2c 74 68 69 73 2e 5f 63 6f 6e find(e,this._con
0003d240: 66 69 67 2e 70 61 72 65 6e 74 29 2e 66 69 6c 74 fig.parent).filt
0003d250: 65 72 28 28 65 3d 3e 21 74 2e 69 6e 63 6c 75 64 er((e=>!t.includ
0003d260: 65 73 28 65 29 29 29 7d 5f 61 64 64 41 72 69 61 es(e)))}_addAria
0003d270: 41 6e 64 43 6f 6c 6c 61 70 73 65 64 43 6c 61 73 AndCollapsedClas
0003d280: 73 28 65 2c 74 29 7b 69 66 28 65 2e 6c 65 6e 67 s(e,t){if(e.leng
0003d290: 74 68 29 66 6f 72 28 63 6f 6e 73 74 20 6e 20 6f th)for(const n o
0003d2a0: 66 20 65 29 6e 2e 63 6c 61 73 73 4c 69 73 74 2e f e)n.classList.
0003d2b0: 74 6f 67 67 6c 65 28 68 74 2c 21 74 29 2c 6e 2e toggle(ht,!t),n.
0003d2c0: 73 65 74 41 74 74 72 69 62 75 74 65 28 22 61 72 setAttribute("ar
0003d2d0: 69 61 2d 65 78 70 61 6e 64 65 64 22 2c 74 29 7d ia-expanded",t)}
0003d2e0: 73 74 61 74 69 63 20 6a 51 75 65 72 79 49 6e 74 static jQueryInt
0003d2f0: 65 72 66 61 63 65 28 65 29 7b 63 6f 6e 73 74 20 erface(e){const
0003d300: 74 3d 7b 7d 3b 72 65 74 75 72 6e 22 73 74 72 69 t={};return"stri
0003d310: 6e 67 22 3d 3d 74 79 70 65 6f 66 20 65 26 26 2f ng"==typeof e&&/
0003d320: 73 68 6f 77 7c 68 69 64 65 2f 2e 74 65 73 74 28 show|hide/.test(
0003d330: 65 29 26 26 28 74 2e 74 6f 67 67 6c 65 3d 21 31 e)&&(t.toggle=!1
0003d340: 29 2c 74 68 69 73 2e 65 61 63 68 28 28 66 75 6e ),this.each((fun
0003d350: 63 74 69 6f 6e 28 29 7b 63 6f 6e 73 74 20 6e 3d ction(){const n=
0003d360: 54 74 2e 67 65 74 4f 72 43 72 65 61 74 65 49 6e Tt.getOrCreateIn
0003d370: 73 74 61 6e 63 65 28 74 68 69 73 2c 74 29 3b 69 stance(this,t);i
0003d380: 66 28 22 73 74 72 69 6e 67 22 3d 3d 74 79 70 65 f("string"==type
0003d390: 6f 66 20 65 29 7b 69 66 28 76 6f 69 64 20 30 3d of e){if(void 0=
0003d3a0: 3d 3d 6e 5b 65 5d 29 74 68 72 6f 77 20 6e 65 77 ==n[e])throw new
0003d3b0: 20 54 79 70 65 45 72 72 6f 72 28 60 4e 6f 20 6d TypeError(`No m
0003d3c0: 65 74 68 6f 64 20 6e 61 6d 65 64 20 22 24 7b 65 ethod named "${e
0003d3d0: 7d 22 60 29 3b 6e 5b 65 5d 28 29 7d 7d 29 29 7d }"`);n[e]()}}))}
0003d3e0: 7d 47 2e 6f 6e 28 64 6f 63 75 6d 65 6e 74 2c 64 }G.on(document,d
0003d3f0: 74 2c 5f 74 2c 28 66 75 6e 63 74 69 6f 6e 28 65 t,_t,(function(e
0003d400: 29 7b 28 22 41 22 3d 3d 3d 65 2e 74 61 72 67 65 ){("A"===e.targe
0003d410: 74 2e 74 61 67 4e 61 6d 65 7c 7c 65 2e 64 65 6c t.tagName||e.del
0003d420: 65 67 61 74 65 54 61 72 67 65 74 26 26 22 41 22 egateTarget&&"A"
0003d430: 3d 3d 3d 65 2e 64 65 6c 65 67 61 74 65 54 61 72 ===e.delegateTar
0003d440: 67 65 74 2e 74 61 67 4e 61 6d 65 29 26 26 65 2e get.tagName)&&e.
0003d450: 70 72 65 76 65 6e 74 44 65 66 61 75 6c 74 28 29 preventDefault()
0003d460: 3b 66 6f 72 28 63 6f 6e 73 74 20 65 20 6f 66 20 ;for(const e of
0003d470: 51 2e 67 65 74 4d 75 6c 74 69 70 6c 65 45 6c 65 Q.getMultipleEle
0003d480: 6d 65 6e 74 73 46 72 6f 6d 53 65 6c 65 63 74 6f mentsFromSelecto
0003d490: 72 28 74 68 69 73 29 29 54 74 2e 67 65 74 4f 72 r(this))Tt.getOr
0003d4a0: 43 72 65 61 74 65 49 6e 73 74 61 6e 63 65 28 65 CreateInstance(e
0003d4b0: 2c 7b 74 6f 67 67 6c 65 3a 21 31 7d 29 2e 74 6f ,{toggle:!1}).to
0003d4c0: 67 67 6c 65 28 29 7d 29 29 2c 5f 28 54 74 29 3b ggle()})),_(Tt);
0003d4d0: 76 61 72 20 78 74 3d 22 74 6f 70 22 2c 41 74 3d var xt="top",At=
0003d4e0: 22 62 6f 74 74 6f 6d 22 2c 43 74 3d 22 72 69 67 "bottom",Ct="rig
0003d4f0: 68 74 22 2c 52 74 3d 22 6c 65 66 74 22 2c 6b 74 ht",Rt="left",kt
0003d500: 3d 22 61 75 74 6f 22 2c 4f 74 3d 5b 78 74 2c 41 ="auto",Ot=[xt,A
0003d510: 74 2c 43 74 2c 52 74 5d 2c 4e 74 3d 22 73 74 61 t,Ct,Rt],Nt="sta
0003d520: 72 74 22 2c 49 74 3d 22 65 6e 64 22 2c 4d 74 3d rt",It="end",Mt=
0003d530: 22 63 6c 69 70 70 69 6e 67 50 61 72 65 6e 74 73 "clippingParents
0003d540: 22 2c 50 74 3d 22 76 69 65 77 70 6f 72 74 22 2c ",Pt="viewport",
0003d550: 44 74 3d 22 70 6f 70 70 65 72 22 2c 4c 74 3d 22 Dt="popper",Lt="
0003d560: 72 65 66 65 72 65 6e 63 65 22 2c 46 74 3d 4f 74 reference",Ft=Ot
0003d570: 2e 72 65 64 75 63 65 28 28 66 75 6e 63 74 69 6f .reduce((functio
0003d580: 6e 28 65 2c 74 29 7b 72 65 74 75 72 6e 20 65 2e n(e,t){return e.
0003d590: 63 6f 6e 63 61 74 28 5b 74 2b 22 2d 22 2b 4e 74 concat([t+"-"+Nt
0003d5a0: 2c 74 2b 22 2d 22 2b 49 74 5d 29 7d 29 2c 5b 5d ,t+"-"+It])}),[]
0003d5b0: 29 2c 55 74 3d 5b 5d 2e 63 6f 6e 63 61 74 28 4f ),Ut=[].concat(O
0003d5c0: 74 2c 5b 6b 74 5d 29 2e 72 65 64 75 63 65 28 28 t,[kt]).reduce((
0003d5d0: 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 65 function(e,t){re
0003d5e0: 74 75 72 6e 20 65 2e 63 6f 6e 63 61 74 28 5b 74 turn e.concat([t
0003d5f0: 2c 74 2b 22 2d 22 2b 4e 74 2c 74 2b 22 2d 22 2b ,t+"-"+Nt,t+"-"+
0003d600: 49 74 5d 29 7d 29 2c 5b 5d 29 2c 42 74 3d 22 62 It])}),[]),Bt="b
0003d610: 65 66 6f 72 65 52 65 61 64 22 2c 6a 74 3d 22 72 eforeRead",jt="r
0003d620: 65 61 64 22 2c 7a 74 3d 22 61 66 74 65 72 52 65 ead",zt="afterRe
0003d630: 61 64 22 2c 47 74 3d 22 62 65 66 6f 72 65 4d 61 ad",Gt="beforeMa
0003d640: 69 6e 22 2c 48 74 3d 22 6d 61 69 6e 22 2c 56 74 in",Ht="main",Vt
0003d650: 3d 22 61 66 74 65 72 4d 61 69 6e 22 2c 71 74 3d ="afterMain",qt=
0003d660: 22 62 65 66 6f 72 65 57 72 69 74 65 22 2c 57 74 "beforeWrite",Wt
0003d670: 3d 22 77 72 69 74 65 22 2c 59 74 3d 22 61 66 74 ="write",Yt="aft
0003d680: 65 72 57 72 69 74 65 22 2c 4b 74 3d 5b 42 74 2c erWrite",Kt=[Bt,
0003d690: 6a 74 2c 7a 74 2c 47 74 2c 48 74 2c 56 74 2c 71 jt,zt,Gt,Ht,Vt,q
0003d6a0: 74 2c 57 74 2c 59 74 5d 3b 66 75 6e 63 74 69 6f t,Wt,Yt];functio
0003d6b0: 6e 20 5a 74 28 65 29 7b 72 65 74 75 72 6e 20 65 n Zt(e){return e
0003d6c0: 3f 28 65 2e 6e 6f 64 65 4e 61 6d 65 7c 7c 22 22 ?(e.nodeName||""
0003d6d0: 29 2e 74 6f 4c 6f 77 65 72 43 61 73 65 28 29 3a ).toLowerCase():
0003d6e0: 6e 75 6c 6c 7d 66 75 6e 63 74 69 6f 6e 20 58 74 null}function Xt
0003d6f0: 28 65 29 7b 69 66 28 6e 75 6c 6c 3d 3d 65 29 72 (e){if(null==e)r
0003d700: 65 74 75 72 6e 20 77 69 6e 64 6f 77 3b 69 66 28 eturn window;if(
0003d710: 22 5b 6f 62 6a 65 63 74 20 57 69 6e 64 6f 77 5d "[object Window]
0003d720: 22 21 3d 3d 65 2e 74 6f 53 74 72 69 6e 67 28 29 "!==e.toString()
0003d730: 29 7b 76 61 72 20 74 3d 65 2e 6f 77 6e 65 72 44 ){var t=e.ownerD
0003d740: 6f 63 75 6d 65 6e 74 3b 72 65 74 75 72 6e 20 74 ocument;return t
0003d750: 26 26 74 2e 64 65 66 61 75 6c 74 56 69 65 77 7c &&t.defaultView|
0003d760: 7c 77 69 6e 64 6f 77 7d 72 65 74 75 72 6e 20 65 |window}return e
0003d770: 7d 66 75 6e 63 74 69 6f 6e 20 51 74 28 65 29 7b }function Qt(e){
0003d780: 72 65 74 75 72 6e 20 65 20 69 6e 73 74 61 6e 63 return e instanc
0003d790: 65 6f 66 20 58 74 28 65 29 2e 45 6c 65 6d 65 6e eof Xt(e).Elemen
0003d7a0: 74 7c 7c 65 20 69 6e 73 74 61 6e 63 65 6f 66 20 t||e instanceof
0003d7b0: 45 6c 65 6d 65 6e 74 7d 66 75 6e 63 74 69 6f 6e Element}function
0003d7c0: 20 4a 74 28 65 29 7b 72 65 74 75 72 6e 20 65 20 Jt(e){return e
0003d7d0: 69 6e 73 74 61 6e 63 65 6f 66 20 58 74 28 65 29 instanceof Xt(e)
0003d7e0: 2e 48 54 4d 4c 45 6c 65 6d 65 6e 74 7c 7c 65 20 .HTMLElement||e
0003d7f0: 69 6e 73 74 61 6e 63 65 6f 66 20 48 54 4d 4c 45 instanceof HTMLE
0003d800: 6c 65 6d 65 6e 74 7d 66 75 6e 63 74 69 6f 6e 20 lement}function
0003d810: 24 74 28 65 29 7b 72 65 74 75 72 6e 22 75 6e 64 $t(e){return"und
0003d820: 65 66 69 6e 65 64 22 21 3d 74 79 70 65 6f 66 20 efined"!=typeof
0003d830: 53 68 61 64 6f 77 52 6f 6f 74 26 26 28 65 20 69 ShadowRoot&&(e i
0003d840: 6e 73 74 61 6e 63 65 6f 66 20 58 74 28 65 29 2e nstanceof Xt(e).
0003d850: 53 68 61 64 6f 77 52 6f 6f 74 7c 7c 65 20 69 6e ShadowRoot||e in
0003d860: 73 74 61 6e 63 65 6f 66 20 53 68 61 64 6f 77 52 stanceof ShadowR
0003d870: 6f 6f 74 29 7d 66 75 6e 63 74 69 6f 6e 20 65 6e oot)}function en
0003d880: 28 65 29 7b 76 61 72 20 74 3d 65 2e 73 74 61 74 (e){var t=e.stat
0003d890: 65 3b 4f 62 6a 65 63 74 2e 6b 65 79 73 28 74 2e e;Object.keys(t.
0003d8a0: 65 6c 65 6d 65 6e 74 73 29 2e 66 6f 72 45 61 63 elements).forEac
0003d8b0: 68 28 28 66 75 6e 63 74 69 6f 6e 28 65 29 7b 76 h((function(e){v
0003d8c0: 61 72 20 6e 3d 74 2e 73 74 79 6c 65 73 5b 65 5d ar n=t.styles[e]
0003d8d0: 7c 7c 7b 7d 2c 72 3d 74 2e 61 74 74 72 69 62 75 ||{},r=t.attribu
0003d8e0: 74 65 73 5b 65 5d 7c 7c 7b 7d 2c 6f 3d 74 2e 65 tes[e]||{},o=t.e
0003d8f0: 6c 65 6d 65 6e 74 73 5b 65 5d 3b 4a 74 28 6f 29 lements[e];Jt(o)
0003d900: 26 26 5a 74 28 6f 29 26 26 28 4f 62 6a 65 63 74 &&Zt(o)&&(Object
0003d910: 2e 61 73 73 69 67 6e 28 6f 2e 73 74 79 6c 65 2c .assign(o.style,
0003d920: 6e 29 2c 4f 62 6a 65 63 74 2e 6b 65 79 73 28 72 n),Object.keys(r
0003d930: 29 2e 66 6f 72 45 61 63 68 28 28 66 75 6e 63 74 ).forEach((funct
0003d940: 69 6f 6e 28 65 29 7b 76 61 72 20 74 3d 72 5b 65 ion(e){var t=r[e
0003d950: 5d 3b 21 31 3d 3d 3d 74 3f 6f 2e 72 65 6d 6f 76 ];!1===t?o.remov
0003d960: 65 41 74 74 72 69 62 75 74 65 28 65 29 3a 6f 2e eAttribute(e):o.
0003d970: 73 65 74 41 74 74 72 69 62 75 74 65 28 65 2c 21 setAttribute(e,!
0003d980: 30 3d 3d 3d 74 3f 22 22 3a 74 29 7d 29 29 29 7d 0===t?"":t)})))}
0003d990: 29 29 7d 66 75 6e 63 74 69 6f 6e 20 74 6e 28 65 ))}function tn(e
0003d9a0: 29 7b 76 61 72 20 74 3d 65 2e 73 74 61 74 65 2c ){var t=e.state,
0003d9b0: 6e 3d 7b 70 6f 70 70 65 72 3a 7b 70 6f 73 69 74 n={popper:{posit
0003d9c0: 69 6f 6e 3a 74 2e 6f 70 74 69 6f 6e 73 2e 73 74 ion:t.options.st
0003d9d0: 72 61 74 65 67 79 2c 6c 65 66 74 3a 22 30 22 2c rategy,left:"0",
0003d9e0: 74 6f 70 3a 22 30 22 2c 6d 61 72 67 69 6e 3a 22 top:"0",margin:"
0003d9f0: 30 22 7d 2c 61 72 72 6f 77 3a 7b 70 6f 73 69 74 0"},arrow:{posit
0003da00: 69 6f 6e 3a 22 61 62 73 6f 6c 75 74 65 22 7d 2c ion:"absolute"},
0003da10: 72 65 66 65 72 65 6e 63 65 3a 7b 7d 7d 3b 72 65 reference:{}};re
0003da20: 74 75 72 6e 20 4f 62 6a 65 63 74 2e 61 73 73 69 turn Object.assi
0003da30: 67 6e 28 74 2e 65 6c 65 6d 65 6e 74 73 2e 70 6f gn(t.elements.po
0003da40: 70 70 65 72 2e 73 74 79 6c 65 2c 6e 2e 70 6f 70 pper.style,n.pop
0003da50: 70 65 72 29 2c 74 2e 73 74 79 6c 65 73 3d 6e 2c per),t.styles=n,
0003da60: 74 2e 65 6c 65 6d 65 6e 74 73 2e 61 72 72 6f 77 t.elements.arrow
0003da70: 26 26 4f 62 6a 65 63 74 2e 61 73 73 69 67 6e 28 &&Object.assign(
0003da80: 74 2e 65 6c 65 6d 65 6e 74 73 2e 61 72 72 6f 77 t.elements.arrow
0003da90: 2e 73 74 79 6c 65 2c 6e 2e 61 72 72 6f 77 29 2c .style,n.arrow),
0003daa0: 66 75 6e 63 74 69 6f 6e 28 29 7b 4f 62 6a 65 63 function(){Objec
0003dab0: 74 2e 6b 65 79 73 28 74 2e 65 6c 65 6d 65 6e 74 t.keys(t.element
0003dac0: 73 29 2e 66 6f 72 45 61 63 68 28 28 66 75 6e 63 s).forEach((func
0003dad0: 74 69 6f 6e 28 65 29 7b 76 61 72 20 72 3d 74 2e tion(e){var r=t.
0003dae0: 65 6c 65 6d 65 6e 74 73 5b 65 5d 2c 6f 3d 74 2e elements[e],o=t.
0003daf0: 61 74 74 72 69 62 75 74 65 73 5b 65 5d 7c 7c 7b attributes[e]||{
0003db00: 7d 2c 69 3d 4f 62 6a 65 63 74 2e 6b 65 79 73 28 },i=Object.keys(
0003db10: 74 2e 73 74 79 6c 65 73 2e 68 61 73 4f 77 6e 50 t.styles.hasOwnP
0003db20: 72 6f 70 65 72 74 79 28 65 29 3f 74 2e 73 74 79 roperty(e)?t.sty
0003db30: 6c 65 73 5b 65 5d 3a 6e 5b 65 5d 29 2e 72 65 64 les[e]:n[e]).red
0003db40: 75 63 65 28 28 66 75 6e 63 74 69 6f 6e 28 65 2c uce((function(e,
0003db50: 74 29 7b 72 65 74 75 72 6e 20 65 5b 74 5d 3d 22 t){return e[t]="
0003db60: 22 2c 65 7d 29 2c 7b 7d 29 3b 4a 74 28 72 29 26 ",e}),{});Jt(r)&
0003db70: 26 5a 74 28 72 29 26 26 28 4f 62 6a 65 63 74 2e &Zt(r)&&(Object.
0003db80: 61 73 73 69 67 6e 28 72 2e 73 74 79 6c 65 2c 69 assign(r.style,i
0003db90: 29 2c 4f 62 6a 65 63 74 2e 6b 65 79 73 28 6f 29 ),Object.keys(o)
0003dba0: 2e 66 6f 72 45 61 63 68 28 28 66 75 6e 63 74 69 .forEach((functi
0003dbb0: 6f 6e 28 65 29 7b 72 2e 72 65 6d 6f 76 65 41 74 on(e){r.removeAt
0003dbc0: 74 72 69 62 75 74 65 28 65 29 7d 29 29 29 7d 29 tribute(e)})))})
0003dbd0: 29 7d 7d 63 6f 6e 73 74 20 6e 6e 3d 7b 6e 61 6d )}}const nn={nam
0003dbe0: 65 3a 22 61 70 70 6c 79 53 74 79 6c 65 73 22 2c e:"applyStyles",
0003dbf0: 65 6e 61 62 6c 65 64 3a 21 30 2c 70 68 61 73 65 enabled:!0,phase
0003dc00: 3a 22 77 72 69 74 65 22 2c 66 6e 3a 65 6e 2c 65 :"write",fn:en,e
0003dc10: 66 66 65 63 74 3a 74 6e 2c 72 65 71 75 69 72 65 ffect:tn,require
0003dc20: 73 3a 5b 22 63 6f 6d 70 75 74 65 53 74 79 6c 65 s:["computeStyle
0003dc30: 73 22 5d 7d 3b 66 75 6e 63 74 69 6f 6e 20 72 6e s"]};function rn
0003dc40: 28 65 29 7b 72 65 74 75 72 6e 20 65 2e 73 70 6c (e){return e.spl
0003dc50: 69 74 28 22 2d 22 29 5b 30 5d 7d 76 61 72 20 6f it("-")[0]}var o
0003dc60: 6e 3d 4d 61 74 68 2e 6d 61 78 2c 61 6e 3d 4d 61 n=Math.max,an=Ma
0003dc70: 74 68 2e 6d 69 6e 2c 73 6e 3d 4d 61 74 68 2e 72 th.min,sn=Math.r
0003dc80: 6f 75 6e 64 3b 66 75 6e 63 74 69 6f 6e 20 6c 6e ound;function ln
0003dc90: 28 29 7b 76 61 72 20 65 3d 6e 61 76 69 67 61 74 (){var e=navigat
0003dca0: 6f 72 2e 75 73 65 72 41 67 65 6e 74 44 61 74 61 or.userAgentData
0003dcb0: 3b 72 65 74 75 72 6e 20 6e 75 6c 6c 21 3d 65 26 ;return null!=e&
0003dcc0: 26 65 2e 62 72 61 6e 64 73 26 26 41 72 72 61 79 &e.brands&&Array
0003dcd0: 2e 69 73 41 72 72 61 79 28 65 2e 62 72 61 6e 64 .isArray(e.brand
0003dce0: 73 29 3f 65 2e 62 72 61 6e 64 73 2e 6d 61 70 28 s)?e.brands.map(
0003dcf0: 28 66 75 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 (function(e){ret
0003dd00: 75 72 6e 20 65 2e 62 72 61 6e 64 2b 22 2f 22 2b urn e.brand+"/"+
0003dd10: 65 2e 76 65 72 73 69 6f 6e 7d 29 29 2e 6a 6f 69 e.version})).joi
0003dd20: 6e 28 22 20 22 29 3a 6e 61 76 69 67 61 74 6f 72 n(" "):navigator
0003dd30: 2e 75 73 65 72 41 67 65 6e 74 7d 66 75 6e 63 74 .userAgent}funct
0003dd40: 69 6f 6e 20 63 6e 28 29 7b 72 65 74 75 72 6e 21 ion cn(){return!
0003dd50: 2f 5e 28 28 3f 21 63 68 72 6f 6d 65 7c 61 6e 64 /^((?!chrome|and
0003dd60: 72 6f 69 64 29 2e 29 2a 73 61 66 61 72 69 2f 69 roid).)*safari/i
0003dd70: 2e 74 65 73 74 28 6c 6e 28 29 29 7d 66 75 6e 63 .test(ln())}func
0003dd80: 74 69 6f 6e 20 75 6e 28 65 2c 74 2c 6e 29 7b 76 tion un(e,t,n){v
0003dd90: 6f 69 64 20 30 3d 3d 3d 74 26 26 28 74 3d 21 31 oid 0===t&&(t=!1
0003dda0: 29 2c 76 6f 69 64 20 30 3d 3d 3d 6e 26 26 28 6e ),void 0===n&&(n
0003ddb0: 3d 21 31 29 3b 76 61 72 20 72 3d 65 2e 67 65 74 =!1);var r=e.get
0003ddc0: 42 6f 75 6e 64 69 6e 67 43 6c 69 65 6e 74 52 65 BoundingClientRe
0003ddd0: 63 74 28 29 2c 6f 3d 31 2c 69 3d 31 3b 74 26 26 ct(),o=1,i=1;t&&
0003dde0: 4a 74 28 65 29 26 26 28 6f 3d 65 2e 6f 66 66 73 Jt(e)&&(o=e.offs
0003ddf0: 65 74 57 69 64 74 68 3e 30 26 26 73 6e 28 72 2e etWidth>0&&sn(r.
0003de00: 77 69 64 74 68 29 2f 65 2e 6f 66 66 73 65 74 57 width)/e.offsetW
0003de10: 69 64 74 68 7c 7c 31 2c 69 3d 65 2e 6f 66 66 73 idth||1,i=e.offs
0003de20: 65 74 48 65 69 67 68 74 3e 30 26 26 73 6e 28 72 etHeight>0&&sn(r
0003de30: 2e 68 65 69 67 68 74 29 2f 65 2e 6f 66 66 73 65 .height)/e.offse
0003de40: 74 48 65 69 67 68 74 7c 7c 31 29 3b 76 61 72 20 tHeight||1);var
0003de50: 61 3d 28 51 74 28 65 29 3f 58 74 28 65 29 3a 77 a=(Qt(e)?Xt(e):w
0003de60: 69 6e 64 6f 77 29 2e 76 69 73 75 61 6c 56 69 65 indow).visualVie
0003de70: 77 70 6f 72 74 2c 73 3d 21 63 6e 28 29 26 26 6e wport,s=!cn()&&n
0003de80: 2c 6c 3d 28 72 2e 6c 65 66 74 2b 28 73 26 26 61 ,l=(r.left+(s&&a
0003de90: 3f 61 2e 6f 66 66 73 65 74 4c 65 66 74 3a 30 29 ?a.offsetLeft:0)
0003dea0: 29 2f 6f 2c 63 3d 28 72 2e 74 6f 70 2b 28 73 26 )/o,c=(r.top+(s&
0003deb0: 26 61 3f 61 2e 6f 66 66 73 65 74 54 6f 70 3a 30 &a?a.offsetTop:0
0003dec0: 29 29 2f 69 2c 75 3d 72 2e 77 69 64 74 68 2f 6f ))/i,u=r.width/o
0003ded0: 2c 64 3d 72 2e 68 65 69 67 68 74 2f 69 3b 72 65 ,d=r.height/i;re
0003dee0: 74 75 72 6e 7b 77 69 64 74 68 3a 75 2c 68 65 69 turn{width:u,hei
0003def0: 67 68 74 3a 64 2c 74 6f 70 3a 63 2c 72 69 67 68 ght:d,top:c,righ
0003df00: 74 3a 6c 2b 75 2c 62 6f 74 74 6f 6d 3a 63 2b 64 t:l+u,bottom:c+d
0003df10: 2c 6c 65 66 74 3a 6c 2c 78 3a 6c 2c 79 3a 63 7d ,left:l,x:l,y:c}
0003df20: 7d 66 75 6e 63 74 69 6f 6e 20 64 6e 28 65 29 7b }function dn(e){
0003df30: 76 61 72 20 74 3d 75 6e 28 65 29 2c 6e 3d 65 2e var t=un(e),n=e.
0003df40: 6f 66 66 73 65 74 57 69 64 74 68 2c 72 3d 65 2e offsetWidth,r=e.
0003df50: 6f 66 66 73 65 74 48 65 69 67 68 74 3b 72 65 74 offsetHeight;ret
0003df60: 75 72 6e 20 4d 61 74 68 2e 61 62 73 28 74 2e 77 urn Math.abs(t.w
0003df70: 69 64 74 68 2d 6e 29 3c 3d 31 26 26 28 6e 3d 74 idth-n)<=1&&(n=t
0003df80: 2e 77 69 64 74 68 29 2c 4d 61 74 68 2e 61 62 73 .width),Math.abs
0003df90: 28 74 2e 68 65 69 67 68 74 2d 72 29 3c 3d 31 26 (t.height-r)<=1&
0003dfa0: 26 28 72 3d 74 2e 68 65 69 67 68 74 29 2c 7b 78 &(r=t.height),{x
0003dfb0: 3a 65 2e 6f 66 66 73 65 74 4c 65 66 74 2c 79 3a :e.offsetLeft,y:
0003dfc0: 65 2e 6f 66 66 73 65 74 54 6f 70 2c 77 69 64 74 e.offsetTop,widt
0003dfd0: 68 3a 6e 2c 68 65 69 67 68 74 3a 72 7d 7d 66 75 h:n,height:r}}fu
0003dfe0: 6e 63 74 69 6f 6e 20 70 6e 28 65 2c 74 29 7b 76 nction pn(e,t){v
0003dff0: 61 72 20 6e 3d 74 2e 67 65 74 52 6f 6f 74 4e 6f ar n=t.getRootNo
0003e000: 64 65 26 26 74 2e 67 65 74 52 6f 6f 74 4e 6f 64 de&&t.getRootNod
0003e010: 65 28 29 3b 69 66 28 65 2e 63 6f 6e 74 61 69 6e e();if(e.contain
0003e020: 73 28 74 29 29 72 65 74 75 72 6e 21 30 3b 69 66 s(t))return!0;if
0003e030: 28 6e 26 26 24 74 28 6e 29 29 7b 76 61 72 20 72 (n&&$t(n)){var r
0003e040: 3d 74 3b 64 6f 7b 69 66 28 72 26 26 65 2e 69 73 =t;do{if(r&&e.is
0003e050: 53 61 6d 65 4e 6f 64 65 28 72 29 29 72 65 74 75 SameNode(r))retu
0003e060: 72 6e 21 30 3b 72 3d 72 2e 70 61 72 65 6e 74 4e rn!0;r=r.parentN
0003e070: 6f 64 65 7c 7c 72 2e 68 6f 73 74 7d 77 68 69 6c ode||r.host}whil
0003e080: 65 28 72 29 7d 72 65 74 75 72 6e 21 31 7d 66 75 e(r)}return!1}fu
0003e090: 6e 63 74 69 6f 6e 20 66 6e 28 65 29 7b 72 65 74 nction fn(e){ret
0003e0a0: 75 72 6e 20 58 74 28 65 29 2e 67 65 74 43 6f 6d urn Xt(e).getCom
0003e0b0: 70 75 74 65 64 53 74 79 6c 65 28 65 29 7d 66 75 putedStyle(e)}fu
0003e0c0: 6e 63 74 69 6f 6e 20 6d 6e 28 65 29 7b 72 65 74 nction mn(e){ret
0003e0d0: 75 72 6e 5b 22 74 61 62 6c 65 22 2c 22 74 64 22 urn["table","td"
0003e0e0: 2c 22 74 68 22 5d 2e 69 6e 64 65 78 4f 66 28 5a ,"th"].indexOf(Z
0003e0f0: 74 28 65 29 29 3e 3d 30 7d 66 75 6e 63 74 69 6f t(e))>=0}functio
0003e100: 6e 20 68 6e 28 65 29 7b 72 65 74 75 72 6e 28 28 n hn(e){return((
0003e110: 51 74 28 65 29 3f 65 2e 6f 77 6e 65 72 44 6f 63 Qt(e)?e.ownerDoc
0003e120: 75 6d 65 6e 74 3a 65 2e 64 6f 63 75 6d 65 6e 74 ument:e.document
0003e130: 29 7c 7c 77 69 6e 64 6f 77 2e 64 6f 63 75 6d 65 )||window.docume
0003e140: 6e 74 29 2e 64 6f 63 75 6d 65 6e 74 45 6c 65 6d nt).documentElem
0003e150: 65 6e 74 7d 66 75 6e 63 74 69 6f 6e 20 67 6e 28 ent}function gn(
0003e160: 65 29 7b 72 65 74 75 72 6e 22 68 74 6d 6c 22 3d e){return"html"=
0003e170: 3d 3d 5a 74 28 65 29 3f 65 3a 65 2e 61 73 73 69 ==Zt(e)?e:e.assi
0003e180: 67 6e 65 64 53 6c 6f 74 7c 7c 65 2e 70 61 72 65 gnedSlot||e.pare
0003e190: 6e 74 4e 6f 64 65 7c 7c 28 24 74 28 65 29 3f 65 ntNode||($t(e)?e
0003e1a0: 2e 68 6f 73 74 3a 6e 75 6c 6c 29 7c 7c 68 6e 28 .host:null)||hn(
0003e1b0: 65 29 7d 66 75 6e 63 74 69 6f 6e 20 62 6e 28 65 e)}function bn(e
0003e1c0: 29 7b 72 65 74 75 72 6e 20 4a 74 28 65 29 26 26 ){return Jt(e)&&
0003e1d0: 22 66 69 78 65 64 22 21 3d 3d 66 6e 28 65 29 2e "fixed"!==fn(e).
0003e1e0: 70 6f 73 69 74 69 6f 6e 3f 65 2e 6f 66 66 73 65 position?e.offse
0003e1f0: 74 50 61 72 65 6e 74 3a 6e 75 6c 6c 7d 66 75 6e tParent:null}fun
0003e200: 63 74 69 6f 6e 20 76 6e 28 65 29 7b 76 61 72 20 ction vn(e){var
0003e210: 74 3d 2f 66 69 72 65 66 6f 78 2f 69 2e 74 65 73 t=/firefox/i.tes
0003e220: 74 28 6c 6e 28 29 29 3b 69 66 28 2f 54 72 69 64 t(ln());if(/Trid
0003e230: 65 6e 74 2f 69 2e 74 65 73 74 28 6c 6e 28 29 29 ent/i.test(ln())
0003e240: 26 26 4a 74 28 65 29 26 26 22 66 69 78 65 64 22 &&Jt(e)&&"fixed"
0003e250: 3d 3d 3d 66 6e 28 65 29 2e 70 6f 73 69 74 69 6f ===fn(e).positio
0003e260: 6e 29 72 65 74 75 72 6e 20 6e 75 6c 6c 3b 76 61 n)return null;va
0003e270: 72 20 6e 3d 67 6e 28 65 29 3b 66 6f 72 28 24 74 r n=gn(e);for($t
0003e280: 28 6e 29 26 26 28 6e 3d 6e 2e 68 6f 73 74 29 3b (n)&&(n=n.host);
0003e290: 4a 74 28 6e 29 26 26 5b 22 68 74 6d 6c 22 2c 22 Jt(n)&&["html","
0003e2a0: 62 6f 64 79 22 5d 2e 69 6e 64 65 78 4f 66 28 5a body"].indexOf(Z
0003e2b0: 74 28 6e 29 29 3c 30 3b 29 7b 76 61 72 20 72 3d t(n))<0;){var r=
0003e2c0: 66 6e 28 6e 29 3b 69 66 28 22 6e 6f 6e 65 22 21 fn(n);if("none"!
0003e2d0: 3d 3d 72 2e 74 72 61 6e 73 66 6f 72 6d 7c 7c 22 ==r.transform||"
0003e2e0: 6e 6f 6e 65 22 21 3d 3d 72 2e 70 65 72 73 70 65 none"!==r.perspe
0003e2f0: 63 74 69 76 65 7c 7c 22 70 61 69 6e 74 22 3d 3d ctive||"paint"==
0003e300: 3d 72 2e 63 6f 6e 74 61 69 6e 7c 7c 2d 31 21 3d =r.contain||-1!=
0003e310: 3d 5b 22 74 72 61 6e 73 66 6f 72 6d 22 2c 22 70 =["transform","p
0003e320: 65 72 73 70 65 63 74 69 76 65 22 5d 2e 69 6e 64 erspective"].ind
0003e330: 65 78 4f 66 28 72 2e 77 69 6c 6c 43 68 61 6e 67 exOf(r.willChang
0003e340: 65 29 7c 7c 74 26 26 22 66 69 6c 74 65 72 22 3d e)||t&&"filter"=
0003e350: 3d 3d 72 2e 77 69 6c 6c 43 68 61 6e 67 65 7c 7c ==r.willChange||
0003e360: 74 26 26 72 2e 66 69 6c 74 65 72 26 26 22 6e 6f t&&r.filter&&"no
0003e370: 6e 65 22 21 3d 3d 72 2e 66 69 6c 74 65 72 29 72 ne"!==r.filter)r
0003e380: 65 74 75 72 6e 20 6e 3b 6e 3d 6e 2e 70 61 72 65 eturn n;n=n.pare
0003e390: 6e 74 4e 6f 64 65 7d 72 65 74 75 72 6e 20 6e 75 ntNode}return nu
0003e3a0: 6c 6c 7d 66 75 6e 63 74 69 6f 6e 20 79 6e 28 65 ll}function yn(e
0003e3b0: 29 7b 66 6f 72 28 76 61 72 20 74 3d 58 74 28 65 ){for(var t=Xt(e
0003e3c0: 29 2c 6e 3d 62 6e 28 65 29 3b 6e 26 26 6d 6e 28 ),n=bn(e);n&&mn(
0003e3d0: 6e 29 26 26 22 73 74 61 74 69 63 22 3d 3d 3d 66 n)&&"static"===f
0003e3e0: 6e 28 6e 29 2e 70 6f 73 69 74 69 6f 6e 3b 29 6e n(n).position;)n
0003e3f0: 3d 62 6e 28 6e 29 3b 72 65 74 75 72 6e 20 6e 26 =bn(n);return n&
0003e400: 26 28 22 68 74 6d 6c 22 3d 3d 3d 5a 74 28 6e 29 &("html"===Zt(n)
0003e410: 7c 7c 22 62 6f 64 79 22 3d 3d 3d 5a 74 28 6e 29 ||"body"===Zt(n)
0003e420: 26 26 22 73 74 61 74 69 63 22 3d 3d 3d 66 6e 28 &&"static"===fn(
0003e430: 6e 29 2e 70 6f 73 69 74 69 6f 6e 29 3f 74 3a 6e n).position)?t:n
0003e440: 7c 7c 76 6e 28 65 29 7c 7c 74 7d 66 75 6e 63 74 ||vn(e)||t}funct
0003e450: 69 6f 6e 20 45 6e 28 65 29 7b 72 65 74 75 72 6e ion En(e){return
0003e460: 5b 22 74 6f 70 22 2c 22 62 6f 74 74 6f 6d 22 5d ["top","bottom"]
0003e470: 2e 69 6e 64 65 78 4f 66 28 65 29 3e 3d 30 3f 22 .indexOf(e)>=0?"
0003e480: 78 22 3a 22 79 22 7d 66 75 6e 63 74 69 6f 6e 20 x":"y"}function
0003e490: 5f 6e 28 65 2c 74 2c 6e 29 7b 72 65 74 75 72 6e _n(e,t,n){return
0003e4a0: 20 6f 6e 28 65 2c 61 6e 28 74 2c 6e 29 29 7d 66 on(e,an(t,n))}f
0003e4b0: 75 6e 63 74 69 6f 6e 20 53 6e 28 65 2c 74 2c 6e unction Sn(e,t,n
0003e4c0: 29 7b 76 61 72 20 72 3d 5f 6e 28 65 2c 74 2c 6e ){var r=_n(e,t,n
0003e4d0: 29 3b 72 65 74 75 72 6e 20 72 3e 6e 3f 6e 3a 72 );return r>n?n:r
0003e4e0: 7d 66 75 6e 63 74 69 6f 6e 20 77 6e 28 29 7b 72 }function wn(){r
0003e4f0: 65 74 75 72 6e 7b 74 6f 70 3a 30 2c 72 69 67 68 eturn{top:0,righ
0003e500: 74 3a 30 2c 62 6f 74 74 6f 6d 3a 30 2c 6c 65 66 t:0,bottom:0,lef
0003e510: 74 3a 30 7d 7d 66 75 6e 63 74 69 6f 6e 20 54 6e t:0}}function Tn
0003e520: 28 65 29 7b 72 65 74 75 72 6e 20 4f 62 6a 65 63 (e){return Objec
0003e530: 74 2e 61 73 73 69 67 6e 28 7b 7d 2c 77 6e 28 29 t.assign({},wn()
0003e540: 2c 65 29 7d 66 75 6e 63 74 69 6f 6e 20 78 6e 28 ,e)}function xn(
0003e550: 65 2c 74 29 7b 72 65 74 75 72 6e 20 74 2e 72 65 e,t){return t.re
0003e560: 64 75 63 65 28 28 66 75 6e 63 74 69 6f 6e 28 74 duce((function(t
0003e570: 2c 6e 29 7b 72 65 74 75 72 6e 20 74 5b 6e 5d 3d ,n){return t[n]=
0003e580: 65 2c 74 7d 29 2c 7b 7d 29 7d 76 61 72 20 41 6e e,t}),{})}var An
0003e590: 3d 66 75 6e 63 74 69 6f 6e 28 65 2c 74 29 7b 72 =function(e,t){r
0003e5a0: 65 74 75 72 6e 20 54 6e 28 22 6e 75 6d 62 65 72 eturn Tn("number
0003e5b0: 22 21 3d 74 79 70 65 6f 66 28 65 3d 22 66 75 6e "!=typeof(e="fun
0003e5c0: 63 74 69 6f 6e 22 3d 3d 74 79 70 65 6f 66 20 65 ction"==typeof e
0003e5d0: 3f 65 28 4f 62 6a 65 63 74 2e 61 73 73 69 67 6e ?e(Object.assign
0003e5e0: 28 7b 7d 2c 74 2e 72 65 63 74 73 2c 7b 70 6c 61 ({},t.rects,{pla
0003e5f0: 63 65 6d 65 6e 74 3a 74 2e 70 6c 61 63 65 6d 65 cement:t.placeme
0003e600: 6e 74 7d 29 29 3a 65 29 3f 65 3a 78 6e 28 65 2c nt})):e)?e:xn(e,
0003e610: 4f 74 29 29 7d 3b 66 75 6e 63 74 69 6f 6e 20 43 Ot))};function C
0003e620: 6e 28 65 29 7b 76 61 72 20 74 2c 6e 3d 65 2e 73 n(e){var t,n=e.s
0003e630: 74 61 74 65 2c 72 3d 65 2e 6e 61 6d 65 2c 6f 3d tate,r=e.name,o=
0003e640: 65 2e 6f 70 74 69 6f 6e 73 2c 69 3d 6e 2e 65 6c e.options,i=n.el
0003e650: 65 6d 65 6e 74 73 2e 61 72 72 6f 77 2c 61 3d 6e ements.arrow,a=n
0003e660: 2e 6d 6f 64 69 66 69 65 72 73 44 61 74 61 2e 70 .modifiersData.p
0003e670: 6f 70 70 65 72 4f 66 66 73 65 74 73 2c 73 3d 72 opperOffsets,s=r
0003e680: 6e 28 6e 2e 70 6c 61 63 65 6d 65 6e 74 29 2c 6c n(n.placement),l
0003e690: 3d 45 6e 28 73 29 2c 63 3d 5b 52 74 2c 43 74 5d =En(s),c=[Rt,Ct]
0003e6a0: 2e 69 6e 64 65 78 4f 66 28 73 29 3e 3d 30 3f 22 .indexOf(s)>=0?"
0003e6b0: 68 65 69 67 68 74 22 3a 22 77 69 64 74 68 22 3b height":"width";
0003e6c0: 69 66 28 69 26 26 61 29 7b 76 61 72 20 75 3d 41 if(i&&a){var u=A
0003e6d0: 6e 28 6f 2e 70 61 64 64 69 6e 67 2c 6e 29 2c 64 n(o.padding,n),d
0003e6e0: 3d 64 6e 28 69 29 2c 70 3d 22 79 22 3d 3d 3d 6c =dn(i),p="y"===l
0003e6f0: 3f 78 74 3a 52 74 2c 66 3d 22 79 22 3d 3d 3d 6c ?xt:Rt,f="y"===l
0003e700: 3f 41 74 3a 43 74 2c 6d 3d 6e 2e 72 65 63 74 73 ?At:Ct,m=n.rects
0003e710: 2e 72 65 66 65 72 65 6e 63 65 5b 63 5d 2b 6e 2e .reference[c]+n.
0003e720: 72 65 63 74 73 2e 72 65 66 65 72 65 6e 63 65 5b rects.reference[
0003e730: 6c 5d 2d 61 5b 6c 5d 2d 6e 2e 72 65 63 74 73 2e l]-a[l]-n.rects.
0003e740: 70 6f 70 70 65 72 5b 63 5d 2c 68 3d 61 5b 6c 5d popper[c],h=a[l]
0003e750: 2d 6e 2e 72 65 63 74 73 2e 72 65 66 65 72 65 6e -n.rects.referen
0003e760: 63 65 5b 6c 5d 2c 67 3d 79 6e 28 69 29 2c 62 3d ce[l],g=yn(i),b=
0003e770: 67 3f 22 79 22 3d 3d 3d 6c 3f 67 2e 63 6c 69 65 g?"y"===l?g.clie
0003e780: 6e 74 48 65 69 67 68 74 7c 7c 30 3a 67 2e 63 6c ntHeight||0:g.cl
0003e790: 69 65 6e 74 57 69 64 74 68 7c 7c 30 3a 30 2c 76 ientWidth||0:0,v
0003e7a0: 3d 6d 2f 32 2d 68 2f 32 2c 79 3d 75 5b 70 5d 2c =m/2-h/2,y=u[p],
0003e7b0: 45 3d 62 2d 64 5b 63 5d 2d 75 5b 66 5d 2c 5f 3d E=b-d[c]-u[f],_=
0003e7c0: 62 2f 32 2d 64 5b 63 5d 2f 32 2b 76 2c 53 3d 5f b/2-d[c]/2+v,S=_
0003e7d0: 6e 28 79 2c 5f 2c 45 29 2c 77 3d 6c 3b 6e 2e 6d n(y,_,E),w=l;n.m
0003e7e0: 6f 64 69 66 69 65 72 73 44 61 74 61 5b 72 5d 3d odifiersData[r]=
0003e7f0: 28 28 74 3d 7b 7d 29 5b 77 5d 3d 53 2c 74 2e 63 ((t={})[w]=S,t.c
0003e800: 65 6e 74 65 72 4f 66 66 73 65 74 3d 53 2d 5f 2c enterOffset=S-_,
0003e810: 74 29 7d 7d 66 75 6e 63 74 69 6f 6e 20 52 6e 28 t)}}function Rn(
0003e820: 65 29 7b 76 61 72 20 74 3d 65 2e 73 74 61 74 65 e){var t=e.state
0003e830: 2c 6e 3d 65 2e 6f 70 74 69 6f 6e 73 2e 65 6c 65 ,n=e.options.ele
0003e840: 6d 65 6e 74 2c 72 3d 76 6f 69 64 20 30 3d 3d 3d ment,r=void 0===
0003e850: 6e 3f 22 5b 64 61 74 61 2d 70 6f 70 70 65 72 2d n?"[data-popper-
0003e860: 61 72 72 6f 77 5d 22 3a 6e 3b 6e 75 6c 6c 21 3d arrow]":n;null!=
0003e870: 72 26 26 28 22 73 74 72 69 6e 67 22 21 3d 74 79 r&&("string"!=ty
0003e880: 70 65 6f 66 20 72 7c 7c 28 72 3d 74 2e 65 6c 65 peof r||(r=t.ele
0003e890: 6d 65 6e 74 73 2e 70 6f 70 70 65 72 2e 71 75 65 ments.popper.que
0003e8a0: 72 79 53 65 6c 65 63 74 6f 72 28 72 29 29 29 26 rySelector(r)))&
0003e8b0: 26 70 6e 28 74 2e 65 6c 65 6d 65 6e 74 73 2e 70 &pn(t.elements.p
0003e8c0: 6f 70 70 65 72 2c 72 29 26 26 28 74 2e 65 6c 65 opper,r)&&(t.ele
0003e8d0: 6d 65 6e 74 73 2e 61 72 72 6f 77 3d 72 29 7d 63 ments.arrow=r)}c
0003e8e0: 6f 6e 73 74 20 6b 6e 3d 7b 6e 61 6d 65 3a 22 61 onst kn={name:"a
0003e8f0: 72 72 6f 77 22 2c 65 6e 61 62 6c 65 64 3a 21 30 rrow",enabled:!0
0003e900: 2c 70 68 61 73 65 3a 22 6d 61 69 6e 22 2c 66 6e ,phase:"main",fn
0003e910: 3a 43 6e 2c 65 66 66 65 63 74 3a 52 6e 2c 72 65 :Cn,effect:Rn,re
0003e920: 71 75 69 72 65 73 3a 5b 22 70 6f 70 70 65 72 4f quires:["popperO
0003e930: 66 66 73 65 74 73 22 5d 2c 72 65 71 75 69 72 65 ffsets"],require
0003e940: 73 49 66 45 78 69 73 74 73 3a 5b 22 70 72 65 76 sIfExists:["prev
0003e950: 65 6e 74 4f 76 65 72 66 6c 6f 77 22 5d 7d 3b 66 entOverflow"]};f
0003e960: 75 6e 63 74 69 6f 6e 20 4f 6e 28 65 29 7b 72 65 unction On(e){re
0003e970: 74 75 72 6e 20 65 2e 73 70 6c 69 74 28 22 2d 22 turn e.split("-"
0003e980: 29 5b 31 5d 7d 76 61 72 20 4e 6e 3d 7b 74 6f 70 )[1]}var Nn={top
0003e990: 3a 22 61 75 74 6f 22 2c 72 69 67 68 74 3a 22 61 :"auto",right:"a
0003e9a0: 75 74 6f 22 2c 62 6f 74 74 6f 6d 3a 22 61 75 74 uto",bottom:"aut
0003e9b0: 6f 22 2c 6c 65 66 74 3a 22 61 75 74 6f 22 7d 3b o",left:"auto"};
0003e9c0: 66 75 6e 63 74 69 6f 6e 20 49 6e 28 65 2c 74 29 function In(e,t)
0003e9d0: 7b 76 61 72 20 6e 3d 65 2e 78 2c 72 3d 65 2e 79 {var n=e.x,r=e.y
0003e9e0: 2c 6f 3d 74 2e 64 65 76 69 63 65 50 69 78 65 6c ,o=t.devicePixel
0003e9f0: 52 61 74 69 6f 7c 7c 31 3b 72 65 74 75 72 6e 7b Ratio||1;return{
0003ea00: 78 3a 73 6e 28 6e 2a 6f 29 2f 6f 7c 7c 30 2c 79 x:sn(n*o)/o||0,y
0003ea10: 3a 73 6e 28 72 2a 6f 29 2f 6f 7c 7c 30 7d 7d 66 :sn(r*o)/o||0}}f
0003ea20: 75 6e 63 74 69 6f 6e 20 4d 6e 28 65 29 7b 76 61 unction Mn(e){va
0003ea30: 72 20 74 2c 6e 3d 65 2e 70 6f 70 70 65 72 2c 72 r t,n=e.popper,r
0003ea40: 3d 65 2e 70 6f 70 70 65 72 52 65 63 74 2c 6f 3d =e.popperRect,o=
0003ea50: 65 2e 70 6c 61 63 65 6d 65 6e 74 2c 69 3d 65 2e e.placement,i=e.
0003ea60: 76 61 72 69 61 74 69 6f 6e 2c 61 3d 65 2e 6f 66 variation,a=e.of
0003ea70: 66 73 65 74 73 2c 73 3d 65 2e 70 6f 73 69 74 69 fsets,s=e.positi
0003ea80: 6f 6e 2c 6c 3d 65 2e 67 70 75 41 63 63 65 6c 65 on,l=e.gpuAccele
0003ea90: 72 61 74 69 6f 6e 2c 63 3d 65 2e 61 64 61 70 74 ration,c=e.adapt
0003eaa0: 69 76 65 2c 75 3d 65 2e 72 6f 75 6e 64 4f 66 66 ive,u=e.roundOff
0003eab0: 73 65 74 73 2c 64 3d 65 2e 69 73 46 69 78 65 64 sets,d=e.isFixed
0003eac0: 2c 70 3d 61 2e 78 2c 66 3d 76 6f 69 64 20 30 3d ,p=a.x,f=void 0=
0003ead0: 3d 3d 70 3f 30 3a 70 2c 6d 3d 61 2e 79 2c 68 3d ==p?0:p,m=a.y,h=
0003eae0: 76 6f 69 64 20 30 3d 3d 3d 6d 3f 30 3a 6d 2c 67 void 0===m?0:m,g
0003eaf0: 3d 22 66 75 6e 63 74 69 6f 6e 22 3d 3d 74 79 70 ="function"==typ
0003eb00: 65 6f 66 20 75 3f 75 28 7b 78 3a 66 2c 79 3a 68 eof u?u({x:f,y:h
0003eb10: 7d 29 3a 7b 78 3a 66 2c 79 3a 68 7d 3b 66 3d 67 }):{x:f,y:h};f=g
0003eb20: 2e 78 2c 68 3d 67 2e 79 3b 76 61 72 20 62 3d 61 .x,h=g.y;var b=a
0003eb30: 2e 68 61 73 4f 77 6e 50 72 6f 70 65 72 74 79 28 .hasOwnProperty(
0003eb40: 22 78 22 29 2c 76 3d 61 2e 68 61 73 4f 77 6e 50 "x"),v=a.hasOwnP
0003eb50: 72 6f 70 65 72 74 79 28 22 79 22 29 2c 79 3d 52 roperty("y"),y=R
0003eb60: 74 2c 45 3d 78 74 2c 5f 3d 77 69 6e 64 6f 77 3b t,E=xt,_=window;
0003eb70: 69 66 28 63 29 7b 76 61 72 20 53 3d 79 6e 28 6e if(c){var S=yn(n
0003eb80: 29 2c 77 3d 22 63 6c 69 65 6e 74 48 65 69 67 68 ),w="clientHeigh
0003eb90: 74 22 2c 54 3d 22 63 6c 69 65 6e 74 57 69 64 74 t",T="clientWidt
0003eba0: 68 22 3b 53 3d 3d 3d 58 74 28 6e 29 26 26 22 73 h";S===Xt(n)&&"s
0003ebb0: 74 61 74 69 63 22 21 3d 3d 66 6e 28 53 3d 68 6e tatic"!==fn(S=hn
0003ebc0: 28 6e 29 29 2e 70 6f 73 69 74 69 6f 6e 26 26 22 (n)).position&&"
0003ebd0: 61 62 73 6f 6c 75 74 65 22 3d 3d 3d 73 26 26 28 absolute"===s&&(
0003ebe0: 77 3d 22 73 63 72 6f 6c 6c 48 65 69 67 68 74 22 w="scrollHeight"
0003ebf0: 2c 54 3d 22 73 63 72 6f 6c 6c 57 69 64 74 68 22 ,T="scrollWidth"
0003ec00: 29 2c 28 6f 3d 3d 3d 78 74 7c 7c 28 6f 3d 3d 3d ),(o===xt||(o===
0003ec10: 52 74 7c 7c 6f 3d 3d 3d 43 74 29 26 26 69 3d 3d Rt||o===Ct)&&i==
0003ec20: 3d 49 74 29 26 26 28 45 3d 41 74 2c 68 2d 3d 28 =It)&&(E=At,h-=(
0003ec30: 64 26 26 53 3d 3d 3d 5f 26 26 5f 2e 76 69 73 75 d&&S===_&&_.visu
0003ec40: 61 6c 56 69 65 77 70 6f 72 74 3f 5f 2e 76 69 73 alViewport?_.vis
0003ec50: 75 61 6c 56 69 65 77 70 6f 72 74 2e 68 65 69 67 ualViewport.heig
0003ec60: 68 74 3a 53 5b 77 5d 29 2d 72 2e 68 65 69 67 68 ht:S[w])-r.heigh
0003ec70: 74 2c 68 2a 3d 6c 3f 31 3a 2d 31 29 2c 6f 21 3d t,h*=l?1:-1),o!=
0003ec80: 3d 52 74 26 26 28 6f 21 3d 3d 78 74 26 26 6f 21 =Rt&&(o!==xt&&o!
0003ec90: 3d 3d 41 74 7c 7c 69 21 3d 3d 49 74 29 7c 7c 28 ==At||i!==It)||(
0003eca0: 79 3d 43 74 2c 66 2d 3d 28 64 26 26 53 3d 3d 3d y=Ct,f-=(d&&S===
0003ecb0: 5f 26 26 5f 2e 76 69 73 75 61 6c 56 69 65 77 70 _&&_.visualViewp
0003ecc0: 6f 72 74 3f 5f 2e 76 69 73 75 61 6c 56 69 65 77 ort?_.visualView
0003ecd0: 70 6f 72 74 2e 77 69 64 74 68 3a 53 5b 54 5d 29 port.width:S[T])
0003ece0: 2d 72 2e 77 69 64 74 68 2c 66 2a 3d 6c 3f 31 3a -r.width,f*=l?1:
0003ecf0: 2d 31 29 7d 76 61 72 20 78 2c 41 3d 4f 62 6a 65 -1)}var x,A=Obje
0003ed00: 63 74 2e 61 73 73 69 67 6e 28 7b 70 6f 73 69 74 ct.assign({posit
0003ed10: 69 6f 6e 3a 73 7d 2c 63 26 26 4e 6e 29 2c 43 3d ion:s},c&&Nn),C=
0003ed20: 21 30 3d 3d 3d 75 3f 49 6e 28 7b 78 3a 66 2c 79 !0===u?In({x:f,y
0003ed30: 3a 68 7d 2c 58 74 28 6e 29 29 3a 7b 78 3a 66 2c :h},Xt(n)):{x:f,
0003ed40: 79 3a 68 7d 3b 72 65 74 75 72 6e 20 66 3d 43 2e y:h};return f=C.
0003ed50: 78 2c 68 3d 43 2e 79 2c 6c 3f 4f 62 6a 65 63 74 x,h=C.y,l?Object
0003ed60: 2e 61 73 73 69 67 6e 28 7b 7d 2c 41 2c 28 28 78 .assign({},A,((x
0003ed70: 3d 7b 7d 29 5b 45 5d 3d 76 3f 22 30 22 3a 22 22 ={})[E]=v?"0":""
0003ed80: 2c 78 5b 79 5d 3d 62 3f 22 30 22 3a 22 22 2c 78 ,x[y]=b?"0":"",x
0003ed90: 2e 74 72 61 6e 73 66 6f 72 6d 3d 28 5f 2e 64 65 .transform=(_.de
0003eda0: 76 69 63 65 50 69 78 65 6c 52 61 74 69 6f 7c 7c vicePixelRatio||
0003edb0: 31 29 3c 3d 31 3f 22 74 72 61 6e 73 6c 61 74 65 1)<=1?"translate
0003edc0: 28 22 2b 66 2b 22 70 78 2c 20 22 2b 68 2b 22 70 ("+f+"px, "+h+"p
0003edd0: 78 29 22 3a 22 74 72 61 6e 73 6c 61 74 65 33 64 x)":"translate3d
0003ede0: 28 22 2b 66 2b 22 70 78 2c 20 22 2b 68 2b 22 70 ("+f+"px, "+h+"p
0003edf0: 78 2c 20 30 29 22 2c 78 29 29 3a 4f 62 6a 65 63 x, 0)",x)):Objec
0003ee00: 74 2e 61 73 73 69 67 6e 28 7b 7d 2c 41 2c 28 28 t.assign({},A,((
0003ee10: 74 3d 7b 7d 29 5b 45 5d 3d 76 3f 68 2b 22 70 78 t={})[E]=v?h+"px
0003ee20: 22 3a 22 22 2c 74 5b 79 5d 3d 62 3f 66 2b 22 70 ":"",t[y]=b?f+"p
0003ee30: 78 22 3a 22 22 2c 74 2e 74 72 61 6e 73 66 6f 72 x":"",t.transfor
0003ee40: 6d 3d 22 22 2c 74 29 29 7d 66 75 6e 63 74 69 6f m="",t))}functio
0003ee50: 6e 20 50 6e 28 65 29 7b 76 61 72 20 74 3d 65 2e n Pn(e){var t=e.
0003ee60: 73 74 61 74 65 2c 6e 3d 65 2e 6f 70 74 69 6f 6e state,n=e.option
0003ee70: 73 2c 72 3d 6e 2e 67 70 75 41 63 63 65 6c 65 72 s,r=n.gpuAcceler
0003ee80: 61 74 69 6f 6e 2c 6f 3d 76 6f 69 64 20 30 3d 3d ation,o=void 0==
0003ee90: 3d 72 7c 7c 72 2c 69 3d 6e 2e 61 64 61 70 74 69 =r||r,i=n.adapti
0003eea0: 76 65 2c 61 3d 76 6f 69 64 20 30 3d 3d 3d 69 7c ve,a=void 0===i|
0003eeb0: 7c 69 2c 73 3d 6e 2e 72 6f 75 6e 64 4f 66 66 73 |i,s=n.roundOffs
0003eec0: 65 74 73 2c 6c 3d 76 6f 69 64 20 30 3d 3d 3d 73 ets,l=void 0===s
0003eed0: 7c 7c 73 2c 63 3d 7b 70 6c 61 63 65 6d 65 6e 74 ||s,c={placement
0003eee0: 3a 72 6e 28 74 2e 70 6c 61 63 65 6d 65 6e 74 29 :rn(t.placement)
0003eef0: 2c 76 61 72 69 61 74 69 6f 6e 3a 4f 6e 28 74 2e ,variation:On(t.
0003ef00: 70 6c 61 63 65 6d 65 6e 74 29 2c 70 6f 70 70 65 placement),poppe
0003ef10: 72 3a 74 2e 65 6c 65 6d 65 6e 74 73 2e 70 6f 70 r:t.elements.pop
0003ef20: 70 65 72 2c 70 6f 70 70 65 72 52 65 63 74 3a 74 per,popperRect:t
0003ef30: 2e 72 65 63 74 73 2e 70 6f 70 70 65 72 2c 67 70 .rects.popper,gp
0003ef40: 75 41 63 63 65 6c 65 72 61 74 69 6f 6e 3a 6f 2c uAcceleration:o,
0003ef50: 69 73 46 69 78 65 64 3a 22 66 69 78 65 64 22 3d isFixed:"fixed"=
0003ef60: 3d 3d 74 2e 6f 70 74 69 6f 6e 73 2e 73 74 72 61 ==t.options.stra
0003ef70: 74 65 67 79 7d 3b 6e 75 6c 6c 21 3d 74 2e 6d 6f tegy};null!=t.mo
0003ef80: 64 69 66 69 65 72 73 44 61 74 61 2e 70 6f 70 70 difiersData.popp
0003ef90: 65 72 4f 66 66 73 65 74 73 26 26 28 74 2e 73 74 erOffsets&&(t.st
0003efa0: 79 6c 65 73 2e 70 6f 70 70 65 72 3d 4f 62 6a 65 yles.popper=Obje
0003efb0: 63 74 2e 61 73 73 69 67 6e 28 7b 7d 2c 74 2e 73 ct.assign({},t.s
0003efc0: 74 79 6c 65 73 2e 70 6f 70 70 65 72 2c 4d 6e 28 tyles.popper,Mn(
0003efd0: 4f 62 6a 65 63 74 2e 61 73 73 69 67 6e 28 7b 7d Object.assign({}
0003efe0: 2c 63 2c 7b 6f 66 66 73 65 74 73 3a 74 2e 6d 6f ,c,{offsets:t.mo
0003eff0: 64 69 66 69 65 72 73 44 61 74 61 2e 70 6f 70 70 difiersData.popp
0003f000: 65 72 4f 66 66 73 65 74 73 2c 70 6f 73 69 74 69 erOffsets,positi
0003f010: 6f 6e 3a 74 2e 6f 70 74 69 6f 6e 73 2e 73 74 72 on:t.options.str
0003f020: 61 74 65 67 79 2c 61 64 61 70 74 69 76 65 3a 61 ategy,adaptive:a
0003f030: 2c 72 6f 75 6e 64 4f 66 66 73 65 74 73 3a 6c 7d ,roundOffsets:l}
0003f040: 29 29 29 29 2c 6e 75 6c 6c 21 3d 74 2e 6d 6f 64 )))),null!=t.mod
0003f050: 69 66 69 65 72 73 44 61 74 61 2e 61 72 72 6f 77 ifiersData.arrow
0003f060: 26 26 28 74 2e 73 74 79 6c 65 73 2e 61 72 72 6f &&(t.styles.arro
0003f070: 77 3d 4f 62 6a 65 63 74 2e 61 73 73 69 67 6e 28 w=Object.assign(
0003f080: 7b 7d 2c 74 2e 73 74 79 6c 65 73 2e 61 72 72 6f {},t.styles.arro
0003f090: 77 2c 4d 6e 28 4f 62 6a 65 63 74 2e 61 73 73 69 w,Mn(Object.assi
0003f0a0: 67 6e 28 7b 7d 2c 63 2c 7b 6f 66 66 73 65 74 73 gn({},c,{offsets
0003f0b0: 3a 74 2e 6d 6f 64 69 66 69 65 72 73 44 61 74 61 :t.modifiersData
0003f0c0: 2e 61 72 72 6f 77 2c 70 6f 73 69 74 69 6f 6e 3a .arrow,position:
0003f0d0: 22 61 62 73 6f 6c 75 74 65 22 2c 61 64 61 70 74 "absolute",adapt
0003f0e0: 69 76 65 3a 21 31 2c 72 6f 75 6e 64 4f 66 66 73 ive:!1,roundOffs
0003f0f0: 65 74 73 3a 6c 7d 29 29 29 29 2c 74 2e 61 74 74 ets:l})))),t.att
0003f100: 72 69 62 75 74 65 73 2e 70 6f 70 70 65 72 3d 4f ributes.popper=O
0003f110: 62 6a 65 63 74 2e 61 73 73 69 67 6e 28 7b 7d 2c bject.assign({},
0003f120: 74 2e 61 74 74 72 69 62 75 74 65 73 2e 70 6f 70 t.attributes.pop
0003f130: 70 65 72 2c 7b 22 64 61 74 61 2d 70 6f 70 70 65 per,{"data-poppe
0003f140: 72 2d 70 6c 61 63 65 6d 65 6e 74 22 3a 74 2e 70 r-placement":t.p
0003f150: 6c 61 63 65 6d 65 6e 74 7d 29 7d 63 6f 6e 73 74 lacement})}const
0003f160: 20 44 6e 3d 7b 6e 61 6d 65 3a 22 63 6f 6d 70 75 Dn={name:"compu
0003f170: 74 65 53 74 79 6c 65 73 22 2c 65 6e 61 62 6c 65 teStyles",enable
0003f180: 64 3a 21 30 2c 70 68 61 73 65 3a 22 62 65 66 6f d:!0,phase:"befo
0003f190: 72 65 57 72 69 74 65 22 2c 66 6e 3a 50 6e 2c 64 reWrite",fn:Pn,d
0003f1a0: 61 74 61 3a 7b 7d 7d 3b 76 61 72 20 4c 6e 3d 7b ata:{}};var Ln={
0003f1b0: 70 61 73 73 69 76 65 3a 21 30 7d 3b 66 75 6e 63 passive:!0};func
0003f1c0: 74 69 6f 6e 20 46 6e 28 65 29 7b 76 61 72 20 74 tion Fn(e){var t
0003f1d0: 3d 65 2e 73 74 61 74 65 2c 6e 3d 65 2e 69 6e 73 =e.state,n=e.ins
0003f1e0: 74 61 6e 63 65 2c 72 3d 65 2e 6f 70 74 69 6f 6e tance,r=e.option
0003f1f0: 73 2c 6f 3d 72 2e 73 63 72 6f 6c 6c 2c 69 3d 76 s,o=r.scroll,i=v
0003f200: 6f 69 64 20 30 3d 3d 3d 6f 7c 7c 6f 2c 61 3d 72 oid 0===o||o,a=r
0003f210: 2e 72 65 73 69 7a 65 2c 73 3d 76 6f 69 64 20 30 .resize,s=void 0
0003f220: 3d 3d 3d 61 7c 7c 61 2c 6c 3d 58 74 28 74 2e 65 ===a||a,l=Xt(t.e
0003f230: 6c 65 6d 65 6e 74 73 2e 70 6f 70 70 65 72 29 2c lements.popper),
0003f240: 63 3d 5b 5d 2e 63 6f 6e 63 61 74 28 74 2e 73 63 c=[].concat(t.sc
0003f250: 72 6f 6c 6c 50 61 72 65 6e 74 73 2e 72 65 66 65 rollParents.refe
0003f260: 72 65 6e 63 65 2c 74 2e 73 63 72 6f 6c 6c 50 61 rence,t.scrollPa
0003f270: 72 65 6e 74 73 2e 70 6f 70 70 65 72 29 3b 72 65 rents.popper);re
0003f280: 74 75 72 6e 20 69 26 26 63 2e 66 6f 72 45 61 63 turn i&&c.forEac
0003f290: 68 28 28 66 75 6e 63 74 69 6f 6e 28 65 29 7b 65 h((function(e){e
0003f2a0: 2e 61 64 64 45 76 65 6e 74 4c 69 73 74 65 6e 65 .addEventListene
0003f2b0: 72 28 22 73 63 72 6f 6c 6c 22 2c 6e 2e 75 70 64 r("scroll",n.upd
0003f2c0: 61 74 65 2c 4c 6e 29 7d 29 29 2c 73 26 26 6c 2e ate,Ln)})),s&&l.
0003f2d0: 61 64 64 45 76 65 6e 74 4c 69 73 74 65 6e 65 72 addEventListener
0003f2e0: 28 22 72 65 73 69 7a 65 22 2c 6e 2e 75 70 64 61 ("resize",n.upda
0003f2f0: 74 65 2c 4c 6e 29 2c 66 75 6e 63 74 69 6f 6e 28 te,Ln),function(
0003f300: 29 7b 69 26 26 63 2e 66 6f 72 45 61 63 68 28 28 ){i&&c.forEach((
0003f310: 66 75 6e 63 74 69 6f 6e 28 65 29 7b 65 2e 72 65 function(e){e.re
0003f320: 6d 6f 76 65 45 76 65 6e 74 4c 69 73 74 65 6e 65 moveEventListene
0003f330: 72 28 22 73 63 72 6f 6c 6c 22 2c 6e 2e 75 70 64 r("scroll",n.upd
0003f340: 61 74 65 2c 4c 6e 29 7d 29 29 2c 73 26 26 6c 2e ate,Ln)})),s&&l.
0003f350: 72 65 6d 6f 76 65 45 76 65 6e 74 4c 69 73 74 65 removeEventListe
0003f360: 6e 65 72 28 22 72 65 73 69 7a 65 22 2c 6e 2e 75 ner("resize",n.u
0003f370: 70 64 61 74 65 2c 4c 6e 29 7d 7d 63 6f 6e 73 74 pdate,Ln)}}const
0003f380: 20 55 6e 3d 7b 6e 61 6d 65 3a 22 65 76 65 6e 74 Un={name:"event
0003f390: 4c 69 73 74 65 6e 65 72 73 22 2c 65 6e 61 62 6c Listeners",enabl
0003f3a0: 65 64 3a 21 30 2c 70 68 61 73 65 3a 22 77 72 69 ed:!0,phase:"wri
0003f3b0: 74 65 22 2c 66 6e 3a 66 75 6e 63 74 69 6f 6e 28 te",fn:function(
0003f3c0: 29 7b 7d 2c 65 66 66 65 63 74 3a 46 6e 2c 64 61 ){},effect:Fn,da
0003f3d0: 74 61 3a 7b 7d 7d 3b 76 61 72 20 42 6e 3d 7b 6c ta:{}};var Bn={l
0003f3e0: 65 66 74 3a 22 72 69 67 68 74 22 2c 72 69 67 68 eft:"right",righ
0003f3f0: 74 3a 22 6c 65 66 74 22 2c 62 6f 74 74 6f 6d 3a t:"left",bottom:
0003f400: 22 74 6f 70 22 2c 74 6f 70 3a 22 62 6f 74 74 6f "top",top:"botto
0003f410: 6d 22 7d 3b 66 75 6e 63 74 69 6f 6e 20 6a 6e 28 m"};function jn(
0003f420: 65 29 7b 72 65 74 75 72 6e 20 65 2e 72 65 70 6c e){return e.repl
0003f430: 61 63 65 28 2f 6c 65 66 74 7c 72 69 67 68 74 7c ace(/left|right|
0003f440: 62 6f 74 74 6f 6d 7c 74 6f 70 2f 67 2c 28 66 75 bottom|top/g,(fu
0003f450: 6e 63 74 69 6f 6e 28 65 29 7b 72 65 74 75 72 6e nction(e){return
0003f460: 20 42 6e 5b 65 5d 7d 29 29 7d 76 61 72 20 7a 6e Bn[e]}))}var zn
0003f470: 3d 7b 73 74 61 72 74 3a 22 65 6e 64 22 2c 65 6e ={start:"end",en
0003f480: 64 3a 22 73 74 61 72 74 22 7d 3b 66 75 6e 63 74 d:"start"};funct
0003f490: 69 6f 6e 20 47 6e 28 65 29 7b 72 65 74 75 72 6e ion Gn(e){return
0003f4a0: 20 65 2e 72 65 70 6c 61 63 65 28 2f 73 74 61 72 e.replace(/star
0003f4b0: 74 7c 65 6e 64 2f 67 2c 28 66 75 6e 63 74 69 6f t|end/g,(functio
0003f4c0: 6e 28 65 29 7b 72 65 74 75 72 6e 20 7a 6e 5b 65 n(e){return zn[e
0003f4d0: 5d 7d 29 29 7d 66 75 6e 63 74 69 6f 6e 20 48 6e ]}))}function Hn
0003f4e0: 28 65 29 7b 76 61 72 20 74 3d 58 74 28 65 29 3b (e){var t=Xt(e);
0003f4f0: 72 65 74 75 72 6e 7b 73 63 72 6f 6c 6c 4c 65 66 return{scrollLef
0003f500: 74 3a 74 2e 70 61 67 65 58 4f 66 66 73 65 74 2c t:t.pageXOffset,
0003f510: 73 63 72 6f 6c 6c 54 6f 70 3a 74 2e 70 61 67 65 scrollTop:t.page
0003f520: 59 4f 66 66 73 65 74 7d 7d 66 75 6e 63 74 69 6f YOffset}}functio
0003f530: 6e 20 56 6e 28 65 29 7b 72 65 74 75 72 6e 20 75 n Vn(e){return u
0003f540: 6e 28 68 6e 28 65 29 29 2e 6c 65 66 74 2b 48 6e n(hn(e)).left+Hn
0003f550: 28 65 29 2e 73 63 72 6f 6c 6c 4c 65 66 74 7d 66 (e).scrollLeft}f
0003f560: 75 6e 63 74 69 6f 6e 20 71 6e 28 65 2c 74 29 7b unction qn(e,t){
0003f570: 76 61 72 20 6e 3d 58 74 28 65 29 2c 72 3d 68 6e var n=Xt(e),r=hn
0003f580: 28 65 29 2c 6f 3d 6e 2e 76 69 73 75 61 6c 56 69 (e),o=n.visualVi
0003f590: 65 77 70 6f 72 74 2c 69 3d 72 2e 63 6c 69 65 6e ewport,i=r.clien
0003f5a0: 74 57 69 64 74 68 2c 61 3d 72 2e 63 6c 69 65 6e tWidth,a=r.clien
0003f5b0: 74 48 65 69 67 68 74 2c 73 3d 30 2c 6c 3d 30 3b tHeight,s=0,l=0;
0003f5c0: 69 66 28 6f 29 7b 69 3d 6f 2e 77 69 64 74 68 2c if(o){i=o.width,
0003f5d0: 61 3d 6f 2e 68 65 69 67 68 74 3b 76 61 72 20 63 a=o.height;var c
0003f5e0: 3d 63 6e 28 29 3b 28 63 7c 7c 21 63 26 26 22 66 =cn();(c||!c&&"f
0003f5f0: 69 78 65 64 22 3d 3d 3d 74 29 26 26 28 73 3d 6f ixed"===t)&&(s=o
0003f600: 2e 6f 66 66 73 65 74 4c 65 66 74 2c 6c 3d 6f 2e .offsetLeft,l=o.
0003f610: 6f 66 66 73 65 74 54 6f 70 29 7d 72 65 74 75 72 offsetTop)}retur
0003f620: 6e 7b 77 69 64 74 68 3a 69 2c 68 65 69 67 68 74 n{width:i,height
0003f630: 3a 61 2c 78 3a 73 2b 56 6e 28 65 29 2c 79 3a 6c :a,x:s+Vn(e),y:l
0003f640: 7d 7d 66 75 6e 63 74 69 6f 6e 20 57 6e 28 65 29 }}function Wn(e)
0003f650: 7b 76 61 72 20 74 2c 6e 3d 68 6e 28 65 29 2c 72 {var t,n=hn(e),r
0003f660: 3d 48 6e 28 65 29 2c 6f 3d 6e 75 6c 6c 3d 3d 28 =Hn(e),o=null==(
0003f670: 74 3d 65 2e 6f 77 6e 65 72 44 6f 63 75 6d 65 6e t=e.ownerDocumen
0003f680: 74 29 3f 76 6f 69 64 20 30 3a 74 2e 62 6f 64 79 t)?void 0:t.body
0003f690: 2c 69 3d 6f 6e 28 6e 2e 73 63 72 6f 6c 6c 57 69 ,i=on(n.scrollWi
0003f6a0: 64 74 68 2c 6e 2e 63 6c 69 65 6e 74 57 69 64 74 dth,n.clientWidt
0003f6b0: 68 2c 6f 3f 6f 2e 73 63 72 6f 6c 6c 57 69 64 74 h,o?o.scrollWidt
0003f6c0: 68 3a 30 2c 6f 3f 6f 2e 63 6c 69 65 6e 74 57 69 h:0,o?o.clientWi
0003f6d0: 64 74 68 3a 30 29 2c 61 3d 6f 6e 28 6e 2e 73 63 dth:0),a=on(n.sc
0003f6e0: 72 6f 6c 6c 48 65 69 67 68 74 2c 6e 2e 63 6c 69 rollHeight,n.cli
0003f6f0: 65 6e 74 48 65 69 67 68 74 2c 6f 3f 6f 2e 73 63 entHeight,o?o.sc
0003f700: 72 6f 6c 6c 48 65 69 67 68 74 3a 30 2c 6f 3f 6f rollHeight:0,o?o
0003f710: 2e 63 6c 69 65 6e 74 48 65 69 67 68 74 3a 30 29 .clientHeight:0)
0003f720: 2c 73 3d 2d 72 2e 73 63 72 6f 6c 6c 4c 65 66 74 ,s=-r.scrollLeft
0003f730: 2b 56 6e 28 65 29 2c 6c 3d 2d 72 2e 73 63 72 6f +Vn(e),l=-r.scro
0003f740: 6c 6c 54 6f 70 3b 72 65 74 75 72 6e 22 72 74 6c llTop;return"rtl
0003f750: 22 3d 3d 3d 66 6e 28 6f 7c 7c 6e 29 2e 64 69 72 "===fn(o||n).dir
0003f760: 65 63 74 69 6f 6e 26 26 28 73 2b 3d 6f 6e 28 6e ection&&(s+=on(n
0003f770: 2e 63 6c 69 65 6e 74 57 69 64 74 68 2c 6f 3f 6f .clientWidth,o?o
0003f780: 2e 63 6c 69 65 6e 74 57 69 64 74 68 3a 30 29 2d .clientWidth:0)-
0003f790: 69 29 2c 7b 77 69 64 74 68 3a 69 2c 68 65 69 67 i),{width:i,heig
0003f7a0: 68 74 3a 61 2c 78 3a 73 2c 79 3a 6c 7d 7d 66 75 ht:a,x:s,y:l}}fu
0003f7b0: 6e 63 74 69 6f 6e 20 59 6e 28 65 29 7b 76 61 72 nction Yn(e){var
0003f7c0: 20 74 3d 66 6e 28 65 29 2c 6e 3d 74 2e 6f 76 65 t=fn(e),n=t.ove
0003f7d0: 72 66 6c 6f 77 2c 72 3d 74 2e 6f 76 65 72 66 6c rflow,r=t.overfl
0003f7e0: 6f 77 58 2c 6f 3d 74 2e 6f 76 65 72 66 6c 6f 77 owX,o=t.overflow
0003f7f0: 59 3b 72 65 74 75 72 6e 2f 61 75 74 6f 7c 73 63 Y;return/auto|sc
0003f800: 72 6f 6c 6c 7c 6f 76 65 72 6c 61 79 7c 68 69 64 roll|overlay|hid
0003f810: 64 65 6e 2f 2e 74 65 73 74 28 6e 2b 6f 2b 72 29 den/.test(n+o+r)
0003f820: 7d 66 75 6e 63 74 69 6f 6e 20 4b 6e 28 65 29 7b }function Kn(e){
0003f830: 72 65 74 75 72 6e 5b 22 68 74 6d 6c 22 2c 22 62 return["html","b
0003f840: 6f 64 79 22 2c 22 23 64 6f 63 75 6d 65 6e 74 22 ody","#document"
0003f850: 5d 2e 69 6e 64 65 78 4f 66 28 5a 74 28 65 29 29 ].indexOf(Zt(e))
0003f860: 3e 3d 30 3f 65 2e 6f 77 6e 65 72 44 6f 63 75 6d >=0?e.ownerDocum
0003f870: 65 6e 74 2e 62 6f 64 79 3a 4a 74 28 65 29 26 26 ent.body:Jt(e)&&
0003f880: 59 6e 28 65 29 3f 65 3a 4b 6e 28 67 6e 28 65 29 Yn(e)?e:Kn(gn(e)
0003f890: 29 7d 66 75 6e 63 74 69 6f 6e 20 5a 6e 28 65 2c )}function Zn(e,
0003f8a0: 74 29 7b 76 61 72 20 6e 3b 76 6f 69 64 20 30 3d t){var n;void 0=
0003f8b0: 3d 3d 74 26 26 28 74 3d 5b 5d 29 3b 76 61 72 20 ==t&&(t=[]);var
0003f8c0: 72 3d 4b 6e 28 65 29 2c 6f 3d 72 3d 3d 3d 28 6e r=Kn(e),o=r===(n
0003f8d0: 75 6c 6c 3d 3d 28 6e 3d 65 2e 6f 77 6e 65 72 44 ull==(n=e.ownerD
0003f8e0: 6f 63 75 6d 65 6e 74 29 3f 76 6f 69 64 20 30 3a ocument)?void 0:
0003f8f0: 6e 2e 62 6f 64 79 29 2c 69 3d 58 74 28 72 29 2c n.body),i=Xt(r),
0003f900: 61 3d 6f 3f 5b 69 5d 2e 63 6f 6e 63 61 74 28 69 a=o?[i].concat(i
0003f910: 2e 76 69 73 75 61 6c 56 69 65 77 70 6f 72 74 7c .visualViewport|
0003f920: 7c 5b 5d 2c 59 6e 28 72 29 3f 72 3a 5b 5d 29 3a |[],Yn(r)?r:[]):
0003f930: 72 2c 73 3d 74 2e 63 6f 6e 63 61 74 28 61 29 3b r,s=t.concat(a);
0003f940: 72 65 74 75 72 6e 20 6f 3f 73 3a 73 2e 63 6f 6e return o?s:s.con
0003f950: 63 61 74 28 5a 6e 28 67 6e 28 61 29 29 29 7d 66 cat(Zn(gn(a)))}f
0003f960: 75 6e 63 74 69 6f 6e 20 58 6e 28 65 29 7b 72 65 unction Xn(e){re
0003f970: 74 75 72 6e 20 4f 62 6a 65 63 74 2e 61 73 73 69 turn Object.assi
0003f980: 67 6e 28 7b 7d 2c 65 2c 7b 6c 65 66 74 3a 65 2e gn({},e,{left:e.
0003f990: 78 2c 74 6f 70 3a 65 2e 79 2c 72 69 67 68 74 3a x,top:e.y,right:
0003f9a0: 65 2e 78 2b 65 2e 77 69 64 74 68 2c 62 6f 74 74 e.x+e.width,bott
0003f9b0: 6f 6d 3a 65 2e 79 2b 65 2e 68 65 69 67 68 74 7d om:e.y+e.height}
0003f9c0: 29 7d 66 75 6e 63 74 69 6f 6e 20 51 6e 28 65 2c )}function Qn(e,
0003f9d0: 74 29 7b 76 61 72 20 6e 3d 75 6e 28 65 2c 21 31 t){var n=un(e,!1
0003f9e0: 2c 22 66 69 78 65 64 22 3d 3d 3d 74 29 3b 72 65 ,"fixed"===t);re
0003f9f0: 74 75 72 6e 20 6e 2e 74 6f 70 3d 6e 2e 74 6f 70 turn n.top=n.top
0003fa00: 2b 65 2e 63 6c 69 65 6e 74 54 6f 70 2c 6e 2e 6c +e.clientTop,n.l
0003fa10: 65 66 74 3d 6e 2e 6c 65 66 74 2b 65 2e 63 6c 69 eft=n.left+e.cli
0003fa20: 65 6e 74 4c 65 66 74 2c 6e 2e 62 6f 74 74 6f 6d entLeft,n.bottom
0003fa30: 3d 6e 2e 74 6f 70 2b 65 2e 63 6c 69 65 6e 74 48 =n.top+e.clientH
0003fa40: 65 69 67 68 74 2c 6e 2e 72 69 67 68 74 3d 6e 2e eight,n.right=n.
0003fa50: 6c 65 66 74 2b 65 2e 63 6c 69 65 6e 74 57 69 64 left+e.clientWid
0003fa60: 74 68 2c 6e 2e 77 69 64 74 68 3d 65 2e 63 6c 69 th,n.width=e.cli
0003fa70: 65 6e 74 57 69 64 74 68 2c 6e 2e 68 65 69 67 68 entWidth,n.heigh
0003fa80: 74 3d 65 2e 63 6c 69 65 6e 74 48 65 69 67 68 74 t=e.clientHeight
0003fa90: 2c 6e 2e 78 3d 6e 2e 6c 65 66 74 2c 6e 2e 79 3d ,n.x=n.left,n.y=
0003faa0: 6e 2e 74 6f 70 2c 6e 7d 66 75 6e 63 74 69 6f 6e n.top,n}function
0003fab0: 20 4a 6e 28 65 2c 74 2c 6e 29 7b 72 65 74 75 72 Jn(e,t,n){retur
0003fac0: 6e 20 74 3d 3d 3d 50 74 3f 58 6e 28 71 6e 28 65 n t===Pt?Xn(qn(e
0003fad0: 2c 6e 29 29 3a 51 74 28 74 29 3f 51 6e 28 74 2c ,n)):Qt(t)?Qn(t,
0003fae0: 6e 29 3a 58 6e 28 57 6e 28 68 6e 28 65 29 29 29 n):Xn(Wn(hn(e)))
0003faf0: 7d 66 75 6e 63 74 69 6f 6e 20 24 6e 28 65 29 7b }function $n(e){
0003fb00: 76 61 72 20 74 3d 5a 6e 28 67 6e 28 65 29 29 2c var t=Zn(gn(e)),
0003fb10: 6e 3d 5b 22 61 62 73 6f 6c 75 74 65 22 2c 22 66 n=["absolute","f
0003fb20: 69 78 65 64 22 5d 2e 69 6e 64 65 78 4f 66 28 66 ixed"].indexOf(f
0003fb30: 6e 28 65 29 2e 70 6f 73 69 74 69 6f 6e 29 3e 3d n(e).position)>=
0003fb40: 30 26 26 4a 74 28 65 29 3f 79 6e 28 65 29 3a 65 0&&Jt(e)?yn(e):e
0003fb50: 3b 72 65 74 75 72 6e 20 51 74 28 6e 29 3f 74 2e ;return Qt(n)?t.
0003fb60: 66 69 6c 74 65 72 28 28 66 75 6e 63 74 69 6f 6e filter((function
0003fb70: 28 65 29 7b 72 65 74 75 72 6e 20 51 74 28 65 29 (e){return Qt(e)
0003fb80: 26 26 70 6e 28 65 2c 6e 29 26 26 22 62 6f 64 79 &&pn(e,n)&&"body
0003fb90: 22 21 3d 3d 5a 74 28 65 29 7d 29 29 3a 5b 5d 7d "!==Zt(e)})):[]}
0003fba0: 66 75 6e 63 74 69 6f 6e 20 65 72 28 65 2c 74 2c function er(e,t,
0003fbb0: 6e 2c 72 29 7b 76 61 72 20 6f 3d 22 63 6c 69 70 n,r){var o="clip
0003fbc0: 70 69 6e 67 50 61 72 65 6e 74 73 22 3d 3d 3d 74 pingParents"===t
0003fbd0: 3f 24 6e 28 65 29 3a 5b 5d 2e 63 6f 6e 63 61 74 ?$n(e):[].concat
0003fbe0: 28 74 29 2c 69 3d 5b 5d 2e 63 6f 6e 63 61 74 28 (t),i=[].concat(
0003fbf0: 6f 2c 5b 6e 5d 29 2c 61 3d 69 5b 30 5d 2c 73 3d o,[n]),a=i[0],s=
0003fc00: 69 2e 72 65 64 75 63 65 28 28 66 75 6e 63 74 69 i.reduce((functi
0003fc10: 6f 6e 28 74 2c 6e 29 7b 76 61 72 20 6f 3d 4a 6e on(t,n){var o=Jn
0003fc20: 28 65 2c 6e 2c 72 29 3b 72 65 74 75 72 6e 20 74 (e,n,r);return t
0003fc30: 2e 74 6f 70 3d 6f 6e 28 6f 2e 74 6f 70 2c 74 2e .top=on(o.top,t.
0003fc40: 74 6f 70 29 2c 74 2e 72 69 67 68 74 3d 61 6e 28 top),t.right=an(
0003fc50: 6f 2e 72 69 67 68 74 2c 74 2e 72 69 67 68 74 29 o.right,t.right)
0003fc60: 2c 74 2e 62 6f 74 74 6f 6d 3d 61 6e 28 6f 2e 62 ,t.bottom=an(o.b
0003fc70: 6f 74 74 6f 6d 2c 74 2e 62 6f 74 74 6f 6d 29 2c ottom,t.bottom),
0003fc80: 74 2e 6c 65 66 74 3d 6f 6e 28 6f 2e 6c 65 66 74 t.left=on(o.left
0003fc90: 2c 74 2e 6c 65 66 74 29 2c 74 7d 29 2c 4a 6e 28 ,t.left),t}),Jn(
0003fca0: 65 2c 61 2c 72 29 29 3b 72 65 74 75 72 6e 20 73 e,a,r));return s
0003fcb0: 2e 77 69 64 74 68 3d 73 2e 72 69 67 68 74 2d 73 .width=s.right-s
0003fcc0: 2e 6c 65 66 74 2c 73 2e 68 65 69 67 68 74 3d 73 .left,s.height=s
0003fcd0: 2e 62 6f 74 74 6f 6d 2d 73 2e 74 6f 70 2c 73 2e .bottom-s.top,s.
0003fce0: 78 3d 73 2e 6c 65 66 74 2c 73 2e 79 3d 73 2e 74 x=s.left,s.y=s.t
0003fcf0: 6f 70 2c 73 7d 66 75 6e 63 74 69 6f 6e 20 74 72 op,s}function tr
0003fd00: 28 65 29 7b 76 61 72 20 74 2c 6e 3d 65 2e 72 65 (e){var t,n=e.re
0003fd10: 66 65 72 65 6e 63 65 2c 72 3d 65 2e 65 6c 65 6d ference,r=e.elem
0003fd20: 65 6e 74 2c 6f 3d 65 2e 70 6c 61 63 65 6d 65 6e ent,o=e.placemen
0003fd30: 74 2c 69 3d 6f 3f 72 6e 28 6f 29 3a 6e 75 6c 6c t,i=o?rn(o):null
0003fd40: 2c 61 3d 6f 3f 4f 6e 28 6f 29 3a 6e 75 6c 6c 2c ,a=o?On(o):null,
0003fd50: 73 3d 6e 2e 78 2b 6e 2e 77 69 64 74 68 2f 32 2d s=n.x+n.width/2-
0003fd60: 72 2e 77 69 64 74 68 2f 32 2c 6c 3d 6e 2e 79 2b r.width/2,l=n.y+
0003fd70: 6e 2e 68 65 69 67 68 74 2f 32 2d 72 2e 68 65 69 n.height/2-r.hei
0003fd80: 67 68 74 2f 32 3b 73 77 69 74 63 68 28 69 29 7b ght/2;switch(i){
0003fd90: 63 61 73 65 20 78 74 3a 74 3d 7b 78 3a 73 2c 79 case xt:t={x:s,y
0003fda0: 3a 6e 2e 79 2d 72 2e 68 65 69 67 68 74 7d 3b 62 :n.y-r.height};b
0003fdb0: 72 65 61 6b 3b 63 61 73 65 20 41 74 3a 74 3d 7b reak;case At:t={
0003fdc0: 78 3a 73 2c 79 3a 6e 2e 79 2b 6e 2e 68 65 69 67 x:s,y:n.y+n.heig
0003fdd0: 68 74 7d 3b 62 72 65 61 6b 3b 63 61 73 65 20 43 ht};break;case C
0003fde0: 74 3a 74 3d 7b 78 3a 6e 2e 78 2b 6e 2e 77 69 64 t:t={x:n.x+n.wid
0003fdf0: 74 68 2c 79 3a 6c 7d 3b 62 72 65 61 6b 3b 63 61 th,y:l};break;ca
0003fe00: 73 65 20 52 74 3a 74 3d 7b 78 3a 6e 2e 78 2d 72 se Rt:t={x:n.x-r
0003fe10: 2e 77 69 64 74 68 2c 79 3a 6c 7d 3b 62 72 65 61 .width,y:l};brea
0003fe20: 6b 3b 64 65 66 61 75 6c 74 3a 74 3d 7b 78 3a 6e k;default:t={x:n
0003fe30: 2e 78 2c 79 3a 6e 2e 79 7d 7d 76 61 72 20 63 3d .x,y:n.y}}var c=
0003fe40: 69 3f 45 6e 28 69 29 3a 6e 75 6c 6c 3b 69 66 28 i?En(i):null;if(
0003fe50: 6e 75 6c 6c 21 3d 63 29 7b 76 61 72 20 75 3d 22 null!=c){var u="
0003fe60: 79 22 3d 3d 3d 63 3f 22 68 65 69 67 68 74 22 3a y"===c?"height":
0003fe70: 22 77 69 64 74 68 22 3b 73 77 69 74 63 68 28 61 "width";switch(a
0003fe80: 29 7b 63 61 73 65 20 4e 74 3a 74 5b 63 5d 3d 74 ){case Nt:t[c]=t
0003fe90: 5b 63 5d 2d 28 6e 5b 75 5d 2f 32 2d 72 5b 75 5d [c]-(n[u]/2-r[u]
0003fea0: 2f 32 29 3b 62 72 65 61 6b 3b 63 61 73 65 20 49 /2);break;case I
0003feb0: 74 3a 74 5b 63 5d 3d 74 5b 63 5d 2b 28 6e 5b 75 t:t[c]=t[c]+(n[u
0003fec0: 5d 2f 32 2d 72 5b 75 5d 2f 32 29 7d 7d 72 65 74 ]/2-r[u]/2)}}ret
0003fed0: 75 72 6e 20 74 7d 66 75 6e 63 74 69 6f 6e 20 6e urn t}function n
0003fee0: 72 28 65 2c 74 29 7b 76 6f 69 64 20 30 3d 3d 3d r(e,t){void 0===
0003fef0: 74 26 26 28 74 3d 7b 7d 29 3b 76 61 72 20 6e 3d t&&(t={});var n=
0003ff00: 74 2c 72 3d 6e 2e 70 6c 61 63 65 6d 65 6e 74 2c t,r=n.placement,
0003ff10: 6f 3d 76 6f 69 64 20 30 3d 3d 3d 72 3f 65 2e 70 o=void 0===r?e.p
0003ff20: 6c 61 63 65 6d 65 6e 74 3a 72 2c 69 3d 6e 2e 73 lacement:r,i=n.s
0003ff30: 74 72 61 74 65 67 79 2c 61 3d 76 6f 69 64 20 30 trategy,a=void 0
0003ff40: 3d 3d 3d 69 3f 65 2e 73 74 72 61 74 65 67 79 3a ===i?e.strategy:
0003ff50: 69 2c 73 3d 6e 2e 62 6f 75 6e 64 61 72 79 2c 6c i,s=n.boundary,l
0003ff60: 3d 76 6f 69 64 20 30 3d 3d 3d 73 3f 4d 74 3a 73 =void 0===s?Mt:s
0003ff70: 2c 63 3d 6e 2e 72 6f 6f 74 42 6f 75 6e 64 61 72 ,c=n.rootBoundar
0003ff80: 79 2c 75 3d 76 6f 69 64 20 30 3d 3d 3d 63 3f 50 y,u=void 0===c?P
0003ff90: 74 3a 63 2c 64 3d 6e 2e 65 6c 65 6d 65 6e 74 43 t:c,d=n.elementC
0003ffa0: 6f 6e 74 65 78 74 2c 70 3d 76 6f 69 64 20 30 3d ontext,p=void 0=
0003ffb0: 3d 3d 64 3f 44 74 3a 64 2c 66 3d 6e 2e 61 6c 74 ==d?Dt:d,f=n.alt
0003ffc0: 42 6f 75 6e 64 61 72 79 2c 6d 3d 76 6f 69 64 20 Boundary,m=void
0003ffd0: 30 21 3d 3d 66 26 26 66 2c 68 3d 6e 2e 70 61 64 0!==f&&f,h=n.pad
0003ffe0: 64 69 6e 67 2c 67 3d 76 6f 69 64 20 30 3d 3d 3d ding,g=void 0===
0003fff0: 68 3f 30 3a 68 2c 62 3d 54 6e 28 22 6e 75 6d 62 h?0:h,b=Tn("numb